簡易檢索 / 詳目顯示

研究生: 諶俊元
Chen, Chun-Yuan
論文名稱: 能帶工程介電層、複晶奈米線通道與無接面設計應用在三維電荷儲存式快閃記憶體之特性研究
Effects of Bandgap-engineered Dielectrics, Polycrystalline Nanowire Channel and Junctionless Configuration on 3D Charge-trapping Flash Memory Devices
指導教授: 張廖貴術
Chang-Liao, Kuei-Shu
口試委員: 張廖貴術
Kuei-Shu Chang-Liao
趙天生
Tien-Sheng Chao
劉致為
Chee-Wee Liu
謝嘉民
Jia-Min Shieh
吳永俊
Yung-Chun Wu
學位類別: 博士
Doctor
系所名稱: 原子科學院 - 工程與系統科學系
Department of Engineering and System Science
論文出版年: 2014
畢業學年度: 103
語文別: 英文
論文頁數: 126
中文關鍵詞: 快閃記憶體能帶工程複晶奈米線通道無接面設計
外文關鍵詞: flash memory device, bandgap engineering, polycrystalline nanowire channel, junctionless transistor
相關次數: 點閱:2下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 複晶(polycrystalline)快閃記憶體近來被研究應用在三維(three-dimensional, 3D)NAND記憶體堆疊上。為了改善其電性與微縮,各種方式如非晶矽結晶、奈米線(nanowire, NW)通道結構以及能帶工程(bandgap engineering, BE)等皆被提出。在本論文中,我們首先探討由(低溫)富氮性(N-rich)氮化矽/二氧化矽所構成的堆疊式穿隧層對快閃記憶體電容造成的效應,應用此能帶工程穿隧層的元件,其寫入與抹除速度皆較單層穿隧層元件要高,但電荷保存力會稍微的下降。接著,我們研究氧化鉿/氮化矽堆疊形成的能帶工程式電荷儲存在複晶矽(polycrystalline silicon, poly-Si)快閃記憶體上的效應,發現此能帶工程式電荷儲存層在奈米線通道元件上能顯著提升記憶體元件的寫入抹除速度與電荷保持力。在第三個研究中我們將氧化鉿/氮化矽堆疊式電荷儲存層同時應用到無接面式(junctionless, JL)元件與反轉式(inversion mode, IM)快閃記憶體元件上,無接面元件不僅是寫入速度、電荷保持力與元件耐寫抹特性都比反轉式元件好,抹除速度也因氧化鉿/氮化矽儲存層的應用而改善到可與反轉式元件的相比擬。
    除了能帶工程與無接面設計在複晶矽記憶體元件上的應用之外,我們也研究了矽鍺掩埋式通道(SiGe buried channel)在複晶矽上的應用,在複晶矽奈米線上磊晶矽鍺掩埋式通道有效提升了元件的寫入抹除速度與元件耐寫抹特性同時不減損電荷保持的能力。我們更提出了將複晶鍺(polycrystalline germanium, poly-Ge)奈米線應用於快閃記憶體元件上,複晶鍺因為自然摻雜的特性讓它在低溫又簡單的製程下就能完成無接面元件的製作,這樣的製程條件使複晶鍺無接面元件很適合用在三維記憶體微縮,電性的表現上也與一般所提出的複晶矽元件相近。
    在最後一個研究中,我們實現一個可堆疊式的垂直閘極快閃記憶體,我們發現上下兩個元件有相近的寫入抹除速度以及可靠度的表現,在絕緣氧化層厚度為50奈米時,元件之間的寫入干擾很小,干擾免疫的記憶窗變化不大,因此這個結構很適合用來與能帶工程和不同通道材料整合應用。


    Polycrystalline channel flash memory device has been studied for the application of three-dimensional (3D) NAND integration. For better device characteristics and continuous scaling, any methods have been proposed such as α-Si crystallization, nanowire (NW) channel and bandgap-engineered (BE) dielectrics. In this dissertation, effects of BE tunneling layer composed of nitrogen-rich (N-rich) SiN/SiO2 and low temperature (LT) N-rich SiN/ SiO2 stack are investigated on bulk capacitor device first. Devices with BE tunneling layer show faster programming and erasing (P/E) speeds but a little degraded retention performance. In the next study, a BE trapping layer composed of HfO2/SiN (HN) stack is applied to polycrystalline silicon (poly-Si) devices with planar and NW channel. Compared with the SiN trapping layer device, P/E speeds are improved by HN stacked trapping layer and the improvement is more effective on NW channel device. The retention performance of devices is also improved because of the lower conduction band level of HfO2. In the third study, HN stacked trapping layer is applied on both inversion-mode (IM) and junctionless-mode (JL) poly-Si flash device. With HN stacked trapping layer, JL device performs faster programming speed and comparable erasing speed with that of IM one, which is rarely seen in reported works which apply SiN as trapping layer and present slower erasing speed of JL device. Retention and endurance performances of JL device are also better than those of IM one.
    Apart from the applications of BE and JL configuration on poly-Si flash device, characteristics of poly-Si device with SiGe buried channel are also studied. P/E speeds and endurance performance are improved by SiGe buried channel without degrading the retention performance. In the next study, pure polycrystalline germanium (poly-Ge) JL flash memory device is proposed for lower fabrication temperature and complexity. The JL configuration is formed by the naturally p-type doping of poly-Ge film such that no additional implantation and activation are needed. Good operation characteristics are also observed. In the final study, a stackable vertical gate structure is demonstrated for 3D memory integration. It is found that the P/E speeds and reliability performances of top and bottom devices are similar. Small program disturb and large disturb-free window are observed with the 50-nm thick SiN isolation layer. The 3D stackable structure is also compatible for the integration with BE and different polycrystalline channel.

    摘要 i Abstract iii Contents v Table Captions ix Figure Captions x Chapter 1 Introduction 1 1.1 Crystallization for amorphous Si 1 1.1.1 Excimer laser crystallization 1 1.1.2 Metal-induced crystallization 2 1.1.3 Solid-phase crystallization 2 1.2 Nanowire channel and multiple gate structure 3 1.2.1 Nanowire channel 3 1.2.2 Multiple gate structure 3 1.3 High-κ dielectric and bandgap engineering 4 1.3.1 Charge-trapping type flash memory device 4 1.3.2 High-κ dielectrics 5 1.3.3 Bandgap engineering 6 1.4 Junctionless flash memory device 8 1.5 Three-dimensional (3D) stackable NAND flash memory 10 1.6 SiGe buried channel for flash memory application 11 1.7 Characteristics of Germanium film 12 1.7.1 Dopant behaviors in Ge film 13 1.7.2 Annealing strategies of dopants in Ge film 14 1.8 Research motivation 16 1.9 Outline of dissertation 17 Chapter 2 Basic Operations of Flash Memory Device 31 2.1 Carrier transportation 31 2.1.1 Flower-Nordheim (FN) tunneling 32 2.1.2 Direct band-to-band tunneling (DT) 33 2.1.3 Modified Flower-Nordheim (MFN) tunneling 33 2.2 Retention property 34 2.3 Endurance property 35 2.4 Gate and drain disturb 36 Chapter 3 Improved Programming/Erasing Speed of Charge-trapping Flash Device with Tunneling Layer Formed by Low Temperature Nitrogen-Rich SiN/SiO2 Stack 40 3.1 Introduction 40 3.2 Device fabrication 42 3.3 Results and discussion 43 3.4 Conclusions 47 Chapter 4 Improved Programming and Erasing Speeds of Poly-Si Flash Memory Device by HfO2/SiN Bandgap-Engineered Trapping Layer 58 4.1 Introduction 58 4.2 Device fabrication 60 4.3 Results and discussion 62 4.4 Conclusions 63 Chapter 5 Improved Erasing Speed in Junctionless Flash Memory Device by HfO2/SiN Stacked Trapping Layer 69 5.1 Introduction 69 5.2 Device fabrication 70 5.3 Results and discussion 71 5.4 Conclusions 73 Chapter 6 Enhanced Operation Characteristics in Poly-Si Nanowire Charge-Trapping Flash Memory Device With SiGe Buried Channel 78 6.1 Introduction 78 6.2 Device fabrication 79 6.3 Results and discussion 81 6.4 Conclusions 83 Chapter 7 Poly-Ge Junctionless Flash Memory Device With Nanowire Channel 87 7.1 Introduction 87 7.2 Device fabrication 88 7.3 Results and discussion 90 7.4 Conclusions 92 Chapter 8 Vertically Stacked Junctionless Charge-Trapping Flash Memory Devices by Adopting In Situ Doped Poly-silicon Channel 98 8.1 Introduction 98 8.2 Device fabrication 99 8.3 Results and discussion 101 8.4 Conclusions 102 Chapter 9 Conclusions and Suggestions 109 9.1 Conclusions 109 9.2 Suggestions for future work 110 9.2.1 Suggestions for bandgap-engineered (BE) dielectrics 111 9.2.2 Suggestions for junctionless (JL) flash memory device 111 9.2.3 Suggestions for SiGe buried channel and poly-Ge flash device 111 9.2.4 Suggestions for 3D stackable flash memory structure 112 References 113 Publication list 123 Published papers 123 Conference papers 124

    [1] N. Yamauchi, J. J. Hajjar and R. Reif, "Polysilicon thin-film transistors with channel length and width comparable to or smaller than the grain size of the thin film," IEEE Trans. Electron Devices, vol. 38, pp. 55-60, Jan. 1991.
    [2] I. C. Lee, C. C. Tsai, H. H. Kuo, P. Y. Yang, C. L. Wang and H. C. Cheng, "A novel SONOS memory with recessed-channel poly-Si TFT via excimer laser crystallization," IEEE Electron Device Lett., vol. 33, pp. 558-560, Apr. 2012.
    [3] Z. G. Meng, S. Y. Zhao, C. Y. Wu, B. Zhang, M. Wong and H. S. Kwok, "Polycrystalline silicon films and thin-film transistors using solution-based metal-induced crystallization," J. Disp. Technol., vol. 2, pp. 265-273, Sep. 2006.
    [4] M. K. Hatalis and D. W. Greve, "Large grain polycrystalline silicon by low‐temperature annealing of low‐pressure chemical vapor deposited amorphous silicon films," J. Appl. Phys., vol. 63, pp. 2260-2266, Apr. 1988.
    [5] M. Bonnel, N. Duhamel, M. Guendouz, L. Haji, B. Loisel and P. Ruault, "Poly-Si thin-film transistors fabricated with rapid thermal annealed silicon films," Jpn. J. Appl. Phys., vol. 30, pp. L1924-L1926, Nov. 1991.
    [6] H. H. Hsu, T. W. Liu, L. Chan, C. D. Lin, T. Y. Huang and H. C. Lin, "Fabrication and characterization of multiple-gated poly-Si nanowire thin-film transistors and impacts of multiple-gate structures on device fluctuations," IEEE Trans. Electron Devices, vol. 55, pp. 3063-3069, Nov. 2008.
    [7] T. K. Kang, T. C. Liao, C. M. Lin, H. W. Liu and H. C. Cheng, "High-performance single-crystal-like nanowire poly-Si TFTs with spacer patterning technique," IEEE Electron Device Lett., vol. 32, pp. 330-332, Mar. 2011.
    [8] Y. H. Lu, P. Y. Kuo, Y. H. Wu, Y. H. Chen and T. S. Chao, "Novel GAA raised source / drain sub-10-nm poly-si NW channel TFTs with self-aligned corked gate structure for 3-D IC applications," in VLSI Symp. Tech. Dig. 2011, pp. 142-143.
    [9] T. H. Hsu, H. T. Lue, E. K. Lai, J. Y. Hsieh, S. Y. Wang, Y. L. Wu, Y. C. King, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, "A high-speed BE-SONOS NAND flash utilizing the field-enhancement effect of FinFET," in IEDM Tech. Dig. 2007, pp. 913-916.
    [10] M. H. White, D. A. Adams and Jiankang Bu, "On the go with SONOS," IEEE Circuits Devices Mag., vol. 16, pp. 22-31, Aug. 2000.
    [11] B. V. Keshavan and H. C. Lin, "MONOS memory element," in IEDM Tech. Dig. 1968, pp. 140-142.
    [12] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, "High-k metal-gate stack and its MOSFET characteristics," IEEE Electron Device Lett., vol. 25, pp. 408-410, Jun. 2004.
    [13] Y. N. Tan, W. K. Chim, J. C. Byung and W. K. Choi, "Over-erase phenomenon in SONOS-type flash memory and its minimization using a hafnium oxide charge storage layer," IEEE Trans. Electron Devices, vol. 51, pp. 1143-1147, Jul. 2004.
    [14] S. C. Lai, H. T. Lue, M. J. Yang, J. Y. Hsieh, S. Y. Wang, T. Wu, G. L. Luo, C. H. Chien, E. K. Lai, K. Y. Hsieh, R. Liu and C. Lu, "MA BE-SONOS: A bandgap engineered SONOS using metal gate and Al2O3 blocking layer to overcome erase saturation," in Non-Volatile Semiconductor Memory Workshop, 2007, pp. 88-89.
    [15] H. T. Lue, S. Y. Wang, E. K. Lai, Y. H. Shih, S. C. Lai, L. W. Yang, K. Chen, J. Ku, K. Y. Hsieh, R. Liu and C. Y. Lu, "BE-SONOS: A bandgap engineered SONOS with excellent performance and reliability," in IEDM Tech. Dig. 2005, pp. 547-550.
    [16] Z. H. Ye, K. S. Chang-Liao, T. C. Liu, T. K. Wang, P. J. Tzeng, C. H. Lin and M. J. Tsai, "A novel SONOS-type flash device with stacked charge trapping layer," Microelectron. Eng., vol. 86, pp. 1863-1865, Jul. 2009.
    [17] G. Zhang, C. H. Ra, H. M. Li, C. Yang and W. J. Yoo, "Potential well engineering by partial oxidation of TiN for high-speed and low-voltage flash memory with good 125°C data retention and excellent endurance," in IEDM Tech. Dig. 2009, pp. 1-4.
    [18] J. P. Colinge, C. W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain, P. Razavi, B. O'Neill, A. Blake, M. White, A. M. Kelleher, B. McCarthy and R. Murphy, "Nanowire transistors without junctions," Nat. Nanotechnol., vol. 5, pp. 225-229, Mar. 2010.
    [19] J. P. Colinge, I. Ferain, A. Kranti, C. W. Lee, N. D. Akhavan, P. Razavi, R. Yan and R. Yu, "Junctionless nanowire transistor: complementary metal-oxide-semiconductor without junctions," Sci. Adv. Mater., vol. 3, pp. 477-482, Jun. 2011.
    [20] C. J. Su, T. K. Su, T. I. Tsai, H. C. Lin and T. Y. Huang, "A junctionless SONOS nonvolatile memory device constructed with in situ-doped polycrystalline silicon nanowires," Nanoscale Res. Lett., vol. 7, pp. 1-6, Feb. 2012.
    [21] Y. Sun, H. Y. Yu, N. Singh, K. C. Leong, E. Gnani, G. Baccarani, G. Q. Lo and D. L. Kwong, "Vertical-Si-nanowire-based nonvolatile memory devices with improved performance and reduced process complexity," IEEE Trans. Electron Devices, vol. 58, pp. 1329-1335, May 2011.
    [22] H. T. Lue, Y. H. Hsiao, P. Y. Du, S. C. Lai, T. H. Hsu, S. P. Hong, M. T. Wu, F. H. Hsu, N. Z. Lien, C. P. Lu, J. Y. Hsieh, L. W. Yang, T. Yang, K. C. Chen, K. Y. Hsieh, R. Liu and C. Y. Lu, "A novel buried-channel FinFET BE-SONOS NAND flash with improved memory window and cycling endurance," in VLSI Symp. Tech. Dig. 2009, pp. 224-225.
    [23] R. Katsumata, M. Kito, Y. Fukuzumi, M. Kido, H. Tanaka, Y. Komori, M. Ishiduki, J. Matsunami, T. Fujiwara, Y. Nagata, Li Zhang, Y. Iwata, R. Kirisawa, H. Aochi and A. Nitayama, "Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices," in VLSI Symp. Tech. Dig. 2009, pp. 136-137.
    [24] J. Jang, H. S. Kim, W. Cho, H. Cho, J. Kim, S. I. Shim, Y. Jang, J. H. Jeong, B. K. Son, D. W. Kim, J. J. Shim, J. S. Lim, K. H. Kim, S. Y. Yi, J. Y. Lim, C. Dewill, H. C. Moon, S. Hwang, J. W. Lee, Y. H. Son, U. Chung and W. S. Lee, "Vertical cell array using TCAT(terabit cell array transistor) technology for ultra high density NAND flash memory," in VLSI Symp. Tech. Dig. 2009, pp. 192-193.
    [25] J. Kim, A. J. Hong, M. K. Sung, E. B. Song, J. H. Park, J. Han, S. Choi, D. Jang, J. T. Moon and K. L. Wang, "Novel vertical-stacked-array-transistor (VSAT) for ultra-high-density and cost-effective NAND flash memory devices and SSD (solid state drive)," in VLSI Symp. Tech. Dig. 2009, pp. 186-187.
    [26] H. T. Lue, T. H. Hsu, Y. H. Hsiao, S. P. Hong, M. T. Wu, F. H. Hsu, N. Z. Lien, S. Y. Wang, J. Y. Hsieh, L. W. Yang, T. Yang, K. C. Chen, K. Y. Hsieh and C. Y. Lu, "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND flash using junction-free buried channel BE-SONOS device," in VLSI Symp. Tech. Dig. 2010, pp. 131-132.
    [27] Y. H. Hsiao, H. T. Lue, T. H. Hsu, K. Y. Hsieh and C. Y. Lu, "A critical examination of 3D stackable NAND flash memory architectures by simulation study of the scaling capability," in IEEE IMW, 2010, pp. 1-4.
    [28] W. K. Yeh, Y. T. Chen, F. S. Huang, C. W. Hsu, C. Y. Chen, Y. K. Fang, K. J. Gan and P. Y. Chen, "The improvement of high-k/metal gate pMOSFET performance and reliability using optimized Si cap/SiGe channel structure," IEEE Trans. Device Mater. Reliab., vol. 11, pp. 7-12, Nov. 2011.
    [29] D. L. Kencke, X. Wang, Q. Ouyang, S. Mudanai, A. Tasch Jr. and S. K. Banerjee, "Enhanced secondary electron injection in novel SiGe flash memory devices," in IEDM Tech. Dig. 2000, pp. 105-108.
    [30] C. C. Wang, K. S. Chang-Liao, C. Y. Lu and T. K. Wang, "Enhanced band-to-band-tunneling-induced hot-electron injection in p-channel flash by band-gap offset modification," IEEE Electron Device Lett., vol. 27, pp. 749-751, Sep. 2006.
    [31] L. J. Liu, K. S. Chang-Liao, Y. C. Jian, J. W. Cheng, T. K. Wang and M. J. Tsai, "Enhanced programming and erasing speeds in p-channel charge-trapping flash memory device with SiGe buried channel," IEEE Electron Device Lett., vol. 33, pp. 1264-1266, Sep. 2012.
    [32] D. J. Paul, "Si/SiGe heterostructures: from material and physics to devices and circuits," Semicond. Sci. Technol., vol. 19, pp. R75-R108, Oct. 2004.
    [33] J. W. Matthews and A. E. Blakeslee, "Defects in epitaxial multilayers .2. dislocation pile-ups, threading dislocations, slip lines and cracks," J. Cryst. Growth, vol. 29, pp. 273-280, Jun. 1975.
    [34] R. Zhang, T. Iwasaki, N. Taoka, M. Takenaka and S. Takagi, "High-mobility Ge pMOSFET with 1-nm EOT gate stack fabricated by plasma post oxidation," IEEE Trans. Electron Devices, vol. 59, pp. 335-341, Feb. 2012.
    [35] R. Zhang, P. C. Huang, J. C. Lin, N. Taoka, M. Takenaka and S. Takagi, "High-mobility Ge p- and n-MOSFETs with 0.7-nm EOT using gate stacks fabricated by plasma postoxidation," IEEE Trans. Electron Devices, vol. 60, pp. 927-934, Mar. 2013.
    [36] S. Suthram, P. Majhi, G. Sun, P. Kalra, H. R. Harris, K. J. Choi, D. Heh, J. Oh, D. Kelly, R. Choi, B. J. Cho, M. M. Hussain, C. Smith, S. Banerjee, W. Tsai, S. E. Thompson, H. H. Tseng and R. Jammy, "High performance pMOSFETs using si/Si1-xGex/si quantum wells with high-k/metal gate stacks and additive uniaxial strain for 22 nm technology node," in VLSI Symp. Tech. Dig. 2007, pp. 727-730.
    [37] C. W. Chen, J. Y. Tzeng, C. T. Chung, H. P. Chien, C. H. Chien and G. L. Luo, "High-performance germanium p- and n-MOSFETs with NiGe source/drain," IEEE Trans. Electron Devices, vol. 61, pp. 2656-2661, Aug. 2014.
    [38] Q. C. Zhang, J. D. Huang, N. Wu, G. X. Chen, M. H. Hong, L. K. Bera and C. X. Zhu, "Drive-current enhancement in Ge n-channel MOSFET using laser annealing for source/drain activation," IEEE Electron Device Lett., vol. 27, pp. 728-730, Sep. 2006.
    [39] R. Duffy and M. Shayesteh, "Germanium doping, contacts, and thin-body structures," Graphene, Ge/Iii-V, Nanowires, and Emerging Materials for Post-Cmos Applications 4, vol. 45, pp. 189-201, May 2012.
    [40] Y. Kamata, Y. Kamimuta, K. Ikeda, K. Furuse, M. Ono, M. Oda, Y. Moriyama, K. Usuda, M. Koike, T. Irisawa, E. Kurosawa and T. Tezuka, "Superior cut-off characteristics of Lg=40nm Wfin=7nm poly ge junctionless tri-gate FET for stacked 3D circuits integration," in VLSI Symp. Tech. Dig. 2013, pp. 94-95.
    [41] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices. Hoboken, NJ, USA: Wiley, 2007.
    [42] C. O. Chui, K. Gopalakrishnan, P. B. Griffin, J. D. Plummer and K. C. Saraswat, "Activation and diffusion studies of ion-implanted p and n dopants in germanium," Appl. Phys. Lett., vol. 83, pp. 3275-3277, Oct. 2003.
    [43] C. O. Chui, L. Kulig, J. Moran, W. Tsai and K. C. Saraswat, "Germanium n-type shallow junction activation dependences," Appl. Phys. Lett., vol. 87, pp. 091909-1-091909-3, Aug. 2005.
    [44] T. E. Haynes and O. W. Holland, "Damage accumulation during ion-implantation of unstrained Si1-XGex alloy layers," Appl. Phys. Lett., vol. 61, pp. 61-63, Jul. 1992.
    [45] L. Romano, G. Impellizzeri, M. V. Tomasello, F. Giannazzo, C. Spinella and M. G. Grimaldi, "Nanostructuring in Ge by self-ion implantation," J. Appl. Phys., vol. 107, pp. 084314-1-084314-5, Apr. 2010.
    [46] E. Simoen, A. Satta, A. D'Amore, T. Janssens, T. Clarysse, K. Martens, B. De Jaeger, A. Benedetti, I. Hoflijk, B. Brijs, M. Meuris and W. Vandervorst, "Ion-implantation issues in the formation of shallow junctions in germanium," Mater. Sci. Semicond. Process, vol. 9, pp. 634-639, Aug. 2006.
    [47] Y. L. Chao, S. Prussin, J. C. S. Woo and R. Scholz, "Preamorphization implantation-assisted boron activation in bulk germanium and germanium-on-insulator," Appl. Phys. Lett., vol. 87, pp. 142102-1-142102-3, Oct. 2005.
    [48] A. Satta, T. Janssens, T. Clarysse, E. Simoen, M. Meuris, A. Benedetti, I. Hoflijk, B. De Jaeger, C. Demeurisse and W. Vandervorst, "P implantation doping of Ge: diffusion, activation, and recrystallization," J. Vac. Sci. Technol. B, vol. 24, pp. 494-498, Jan. 2006.
    [49] J. D. Huang, N. Wu, Q. C. Zhang, C. X. Zhu, A. A. O. Tay, G. X. Chen and M. H. Hong, "Germanium n+/p junction formation by laser thermal process," Appl. Phys. Lett., vol. 87, pp. 173507-1-173507-3, Oct. 2005.
    [50] S. C. Wolfson and F. D. Ho, "Transient simulation to analyze flash memory erase improvements due to germanium content in the substrate," IEEE Trans. Electron Devices, vol. 57, pp. 2499-2503, Oct. 2010.
    [51] C. H. Lee, J. D. Choi, C. S. Kang, Y. C. Shin, J. S. Lee, J. S. Sel, J. S. Sim, S. H. Jeon, B. I. Choe, D. K. Bae, K. T. Park and K. N. Kim, "Multi-level NAND flash memory with 63 nm-node TANOS (si-oxide-SiN-Al2O3-TaN) cell structure," in VLSI Symp. Tech. Dig. 2006, pp. 21-22.
    [52] The International Technology Raodmap for Semiconductors (ITRS),2012 [online]. Available: www.itrs.net.
    [53] D. C. Gilmer, N. Goel, H. Park, C. Park, S. Verma, G. Bersuker, P. Lysaght, H. H. Tseng, P. D. Kirsch, K. C. Saraswat and R. Jammy, "Engineering the complete MANOS-type NVM stack for best in class retention performance," in IEDM Tech. Dig. 2009, pp. 439-442.
    [54] H. Park, G. Bersuker, M. Jo, D. Veksler, K. Y. Lim, D. Gilmer, N. Goel, C. Y. Kang, C. Young, M. Chang, H. Hwang, H. H. Tseng, P. D. Kirsch and R. Jammy, "Tunnel oxide degradation in TANOS devices and its origin," in VLSI Technology Systems and Applications, 2010, pp. 50-51.
    [55] J. G. Yun, I. L. H. Park, S. Cho, J. H. Lee, D. H. Kim, G. S. Lee, Y. Kim, J. D. Lee and B. G. Park, "Formation of Si-rich silicon nitride with low deposition rate by using LPCVD for nanoscale non-volatile-memory application," J. Korean Phys. Soc., vol. 51, pp. S229-S233, Dec. 2007.
    [56] C. Sandhya, A. B. Oak, N. Chattar, A. S. Joshi, U. Ganguly, C. Olsen, S. M. Seutter, L. Date, R. Hung, J. Vasi and S. Mahapatra, "Impact of SiN composition variation on SANOS memory performance and reliability under (FN/FN) operation," IEEE Trans. Electron Devices, vol. 56, pp. 3123-3132, Dec. 2009.
    [57] T. S. Chen, K. H. Wu, H. Chung and C. H. Kao, "Performance improvement of SONOS memory by bandgap engineering of charge-trapping layer," IEEE Electron Device Lett., vol. 25, pp. 205-207, Apr. 2004.
    [58] R. Fujitsuka, K. Sekine, A. Sekihara, A. Fukumoto, J. Fujita, F. Aiso and Y. Ozawa, "Engineering of Si-rich nitride charge-trapping layer for highly reliable metal-oxide-nitride-oxide-semiconductor type NAND flash memory with multi-level cell operation," Jpn. J. Appl. Phys., vol. 51, pp. 021103-1-021103-6, Feb. 2012.
    [59] J. L. Wu, C. H. Kao, H. C. Chien, C. Y. Wu and J. C. Wang, "Deposition-temperature effect on nitride trapping layer of silicon-oxide-nitride-oxide-silicon memory," Jpn. J. Appl. Phys., vol. 46, pp. 2827-2830, May 2007.
    [60] C. Y. Kang, "Barrier engineering in metal-aluminum oxide-nitride-oxide-silicon (MANOS) flash memory: Invited," Curr. Appl. Phys., vol. 10, pp. E27-E31, Jan. 2010.
    [61] Y. Q. Wang, D. Y. Gao, W. S. Hwang, C. Shen, G. Zhang, G. Samudra, Y. C. Yeo and W. J. Yoo, "Fast erasing and highly reliable MONOS type memory with HfO2 high-k trapping layer and Si3N4/SiO2 tunneling stack," in IEDM Tech. Dig. 2006, pp. 1-4.
    [62] N. Goel, D. C. Gilmer, H. Park, V. Diaz, Y. Sun, J. Price, C. Park, P. Pianetta, P. D. Kirsch and R. Jammy, "Erase and retention improvements in charge trap flash through engineered charge storage layer," IEEE Electron Device Lett., vol. 30, pp. 216-218, Mar. 2009.
    [63] T. H. Hsu, H. T. Lue, Y. C. King, Y. H. Hsiao, S. C. Lai, K. Y. Hsieh, R. Liu and C. Y. Lu, "Physical model of field enhancement and edge effects of FinFET charge-trapping NAND flash devices," IEEE Trans. Electron Devices, vol. 56, pp. 1235-1242, Jun. 2009.
    [64] J. Fu, Y. Jiang, N. Singh, C. X. Zhu, G. Q. Lo and D. L. Kwong, "Polycrystalline Si nanowire SONOS nonvolatile memory cell fabricated on a gate-all-around (GAA) channel architecture," IEEE Electron Device Lett., vol. 30, pp. 246-249, Mar. 2009.
    [65] P. C. Huang, L. A. Chen and J. T. Sheu, "Electric-field enhancement of a gate-all-around nanowire thin-film transistor memory," IEEE Electron Device Lett., vol. 31, pp. 216-218, Mar. 2010.
    [66] S. C. Chen, T. C. Chang, P. T. Liu, Y. C. Wu, C. C. Ko, S. Yang, L. W. Feng, S. M. Sze, C. Y. Chang and C. H. Lien, "Pi-shape gate polycrystalline silicon thin-film transistor for nonvolatile memory applications," Appl. Phys. Lett., vol. 91, pp. 213101-1-213101-3, Nov. 2007.
    [67] L. J. Chen, Y. C. Wu, J. H. Chiang, M. F. Hung, C. W. Chang and P. W. Su, "Comprehensive study of Pi-gate nanowires poly-Si TFT nonvolatile memory with an HfO2 charge trapping layer," IEEE Trans. Nanotechnol., vol. 10, pp. 260-265, Mar. 2011.
    [68] T. C. Liao, S. W. Tu, M. H. Yu, W. K. Lin, C. C. Liu, K. J. Chang, Y. H. Tai and H. C. Cheng, "Novel gate-all-around poly-Si TFTs with multiple nanowire channels," IEEE Electron Device Lett., vol. 29, pp. 889-891, Aug. 2008.
    [69] T. C. Liao, S. K. Chen, M. H. Yu, C. Y. Wu, T. K. Kang, F. T. Chien, Y. T. Liu, C. M. Lin and H. C. Cheng, "A novel LTPS-TFT-based charge-trapping memory device with field-enhanced nanowire structure," in IEDM Tech. Dig. 2009, pp. 1-4.
    [70] C. Y. Wu, Y. T. Liu, T. C. Liao, M. H. Yu and H. C. Cheng, "Novel dielectric-engineered trapping-charge poly-Si-TFT memory with a TiN-alumina-nitride-vacuum-silicon structure," IEEE Electron Device Lett., vol. 32, pp. 1095-1097, Aug. 2011.
    [71] P. H. Tsai, K. S. Chang-Liao, T. C. Liu, T. K. Wang, P. J. Tzeng, C. H. Lin, L. S. Lee and M. J. Tsai, "Charge-trapping-type flash memory device with stacked high-k charge-trapping layer," IEEE Electron Device Lett., vol. 30, pp. 775-777, Jul. 2009.
    [72] C. W. Luo, H. C. Lin, K. H. Lee, W. C. Chen, H. H. Hsu and T. Y. Huang, "Impacts of nanocrystal location on the operation of trap-layer-engineered poly-Si nanowired gate-all-around SONOS memory devices," IEEE Trans. Electron Devices, vol. 58, pp. 1879-1885, Jul. 2011.
    [73] T. K. Su, T. I. Tsai, C. J. Su, H. C. Lin and T. Y. Huang, "Fabrication and characterization of a junctionless SONOS transistor with poly-si nanowire channels," in International Nanoelectronics Conference, 2011, pp. 1-2.
    [74] Z. H. Ye, K. S. Chang-Liao, C. Y. Tsai, T. T. Tsai and T. K. Wang, "Enhanced operation in charge-trapping nonvolatile memory device with Si3N4/Al2O3/HfO2 charge-trapping layer," IEEE Electron Device Lett., vol. 33, pp. 1351-1353, Oct. 2012.
    [75] Z. H. Ye, K. Chang-Liao, C. Tsai, T. Tsai and T. Wang, "Enhanced Operation in Charge-Trapping Nonvolatile Memory Device With Si3N4/Al2O3/HfO2 Charge-Trapping Layer," IEEE Electron Device Lett., vol. 33, pp. 1351-1353, OCT 2012, 2012.
    [76] G. K. Dalapati, S. Chattopadhyay, K. S. K. Kwa, S. H. Olsen, Y. L. Tsang, R. Agaiby, A. G. O'Neill, P. Dobrosz and S. J. Bull, "Impact of strained-Si thickness and Ge out-diffusion on gate oxide quality for strained-Si surface channel n-MOSFETs," IEEE Trans. Electron Devices, vol. 53, pp. 1142-1152, May 2006.
    [77] C. Y. Chen, K. S. Chang-Liao, H. W. Ho and T. K. Wang, "Improved programming and erasing speeds of poly-Si flash memory device by HfO2/Si3N4 bandgap-engineered trapping layer," Microelectron. Eng., vol. 109, pp. 17-20, Sep. 2013.
    [78] Y. Sun, H. Y. Yu, N. Singh, K. C. Leong, E. Quek, G. Q. Lo and D. L. Kwong, "Demonstration of memory string with stacked junction-less SONOS realized on vertical silicon nanowire," in IEDM Tech. Dig. 2011, pp. 9.7.1-9.7.4.
    [79] S. J. Choi, D. Moon, J. P. Duarte, K. Sungho and Y. K. Choi, "A novel junctionless all-around-gate SONOS device with a quantum nanowire on a bulk substrate for 3D stack NAND flash memory," in VLSI Symp. Tech. Dig., 2011, pp. 74-75.
    [80] K. H. Lee, H. C. Lin and T. Y. Huang, "A novel charge-trapping-type memory with gate-all-around poly-Si nanowire and HfAlO trapping layer," IEEE Electron Device Lett., vol. 34, pp. 393-395, Mar. 2013.
    [81] C. Y. Chen, K. S. Chang-Liao, L. J. Liu, W. C. Chen and T. K. Wang, "Enhanced operation characteristics in poly-Si nanowire charge-trapping flash memory device with SiGe buried channel," IEEE Electron Device Lett., vol. 35, pp. 1025-1027, Oct. 2014.
    [82] Y. C. Lien, J. M. Shieh, W. H. Huang, C. H. Tu, C. Wang, C. H. Shen, B. T. Dai, C. L. Pan, C. M. Hu and F. L. Yang, "Fast programming metal-gate Si quantum dot nonvolatile memory using green nanosecond laser spike annealing," Appl. Phys. Lett., vol. 100, pp. 143501-1-143501-4, Apr. 2012.
    [83] K. Sakuma, H. Kusai, S. Fujii and M. Koyama, "Highly scalable horizontal channel 3-D NAND memory excellent in compatibility with conventional fabrication technology," IEEE Electron Device Lett., vol. 34, pp. 1142-1144, Sep. 2013.
    [84] Y. H. Hsiao, H. T. Lue, W. C. Chen, K. P. Chang, Y. H. Shih, B. Y. Tsui, K. Y. Hsieh and C. Y. Lu, "Modeling the impact of random grain boundary traps on the electrical behavior of vertical gate 3-D NAND flash memory devices," IEEE Trans. Electron Devices, vol. 61, pp. 2064-2070, Jun. 2014.
    [85] C. Y. Chen, K. S. Chang-Liao, K. T. Wu and T. K. Wang, "Improved erasing speed in junctionless flash memory device by stacked trapping layer," IEEE Electron Device Lett., vol. 34, pp. 993-995, Aug. 2013.
    [86] C. W. Lee, A. Afzalian, N. D. Akhavan, R. Yan, I. Ferain and C. Jean-Pierre, "Junctionless multigate field-effect transistor," Appl. Phys. Lett., vol. 94, pp. 053511-1-053511-2, Feb. 2009.
    [87] C. J. Su, T. I. Tsai, Y. L. Liou, Z. M. Lin, H. C. Lin and T. S. Chao, "Gate-all-around junctionless transistors with heavily doped polysilicon nanowire channels," IEEE Electron Device Lett., vol. 32, pp. 521-523, Apr. 2011.
    [88] Y. Wang, L. A. D. Bathen, Z. Shao and N. D. Dutt, "3D-FlashMap: A physical-location-aware block mapping strategy for 3D NAND flash memory," in DATE, 2012, pp. 1307-1312.

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE