研究生: |
藍雍淵 Lan, Yung-Yuan |
---|---|
論文名稱: |
考慮通孔柱堆疊插入及引腳可連接性的細部擺置修正演算法 A Detailed Placement Refinement Algorithm Considering Via Pillar Insertion and Pin Accessibility |
指導教授: |
王廷基
Wang, Ting-Chi |
口試委員: |
麥偉基
Mak, Wai-Kei 陳宏明 Chen, Hung-Ming |
學位類別: |
碩士 Master |
系所名稱: |
電機資訊學院 - 資訊工程學系 Computer Science |
論文出版年: | 2022 |
畢業學年度: | 111 |
語文別: | 英文 |
論文頁數: | 40 |
中文關鍵詞: | 通孔柱 、引腳可連接性 、細部擺置 |
外文關鍵詞: | via pillar, pin accessibility, detailed placement |
相關次數: | 點閱:2 下載:0 |
分享至: |
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
隨著先進製程的發展,金屬的線寬愈來愈小、電阻愈來愈大。金屬線對時序以及電遷移的影響愈來愈明顯。為了解決這些問題,通孔柱技術被提出。然而,通孔柱會增加額外的繞線負擔。與此同時,先進製程中的設計規則愈來愈複雜、繞線資源也愈來愈少。在這些因素之下,細部繞線變得比以往更加困難。而引腳可連接性更是其中最關鍵的議題之一。因此,在實體設計流程中加入對通孔柱插入和引腳可連接性的考量是必要的。然而,據我們所知,先前沒有任何研究同時針對這兩個議題做考量。本論文提出一個能在細部擺置階段同時考慮通孔柱插入以及引腳可連接性的演算法。我們的方法由一個有向無環圖的最短路徑演算法,以及一個重新決定單元順序的機制組成。我們首先將單列中固定順序的細部擺置優化問題轉為一個有向無環圖的最短路徑問題。並在解此問題的過程加入對於安插通孔柱和引腳可連接性的考量。在有必要時,則會使用重新排序的機制來改變目前的解,以協助我們在下一次的迭代中找到合法解。實驗結果顯示,和原先的擺置結果相比,違反設計規則的數量減少97.08%、細部繞線的時間減少25.1%,且難以連接的引腳數量也減少了87.22%,而僅增加0.33%線長的成本。
With the scaling of technology nodes and the shrinking of feature size, the effects of circuit delay and electronic migration (EM) incurred from metal wires are tremendously increasing. A novel technique called via pillar is proposed to alleviate the EM impact and improve timing performance. However, via pillar increases the difficulty of routing as it occupies additional routing resources. Meanwhile, the complex design rules and limited routing resources make the detailed routing (DR) problem ever more difficult. Pin accessibility is one of the most crucial issues in advanced technology nodes. Thus, the consideration of via pillar insertion and pin accessibility in the physical design flow is necessary, especially in modern design. However, to our best knowledge, no previous research aims at co-optimizing these two issues. In this thesis, we propose a detailed placement refinement framework considering via pillar insertion and pin accessibility simultaneously. Our methodology is composed of a DAG-shortest-path algorithm and a re-ordering mechanism. We formulate a single-row fixed-order placement refinement problem as a DAG-shortest-path problem. Then, we assign via pillar cells to eligible positions as many as possible while optimizing the pin accessibility by the shortest-path algorithm. We also utilize the re-ordering mechanism to perturb the solution space of the DAG-shortest-path problem for further refining the placement if necessary. Experimental results show that our methodology helps reduce the number of DRC violations, detailed routing time, and hard-to-access pins significantly by 97.08%, 25.1%, and 87.22%, respectively, while only 0.33% overhead in wirelength.
[1] Y. Zhong, T.-C. Yu, K.-C. Yang, and S.-Y. Fang, “Via pillar-aware detailed placement,” in Proceedings of International Symposium on Physical Design, pp. 17–24, 2020.
[2] L.-C. Lu, “Physical design challenges and innovations to meet power, speed, and area scaling trend,” in Proceedings of International Symposium on Physical Design, p. 63, 2017.
[3] S. Kim and T. Kim, “Pin accessibility-driven placement optimization with accurate and comprehensive prediction model,” in Proceedings of Design, Automation and Test in Europe Conference, pp. 778–783, 2022.
[4] T. Taghavi, Z. Li, C. Alpert, G.-J. Nam, A. Huber, and S. Ramji, “New placement prediction and mitigation techniques for local routing congestion,” in Proceedings of International Conference on Computer-Aided Design, pp. 621–624, 2010.
[5] G. Liu, X. Zhang, W. Guo, X. Huang, W.-H. Liu, K.-Y. Chao, and T.-C. Wang, “Timingaware layer assignment for advanced process technologies considering via pillars,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021.
[6] X. Xu, B. Cline, G. Yeric, B. Yu, and D. Z. Pan, “Self-aligned double patterning aware pin access and standard cell layout co-optimization,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 5, pp. 699–712, 2015.
[7] J. Seo, J. Jung, S. Kim, and Y. Shin, “Pin accessibility-driven cell layout redesign and placement optimization,” in Proceedings of Design Automation Conference, 2017.
[8] W. Ye, B. Yu, D. Z. Pan, Y.-C. Ban, and L. Liebmann, “Standard cell layout regularity and pin access optimization considering middle-of-line,” in Proceedings of Great Lakes Symposium on VLSI, pp. 289–294, 2015.
[9] X. Xu, N. Shah, A. Evans, S. Sinha, B. Cline, and G. Yeric, “Standard cell library design and optimization methodology for asap7 pdk,” in Proceedings of International Conference on Computer-Aided Design, pp. 999–1004, 2017.
[10] Y. Ding, C. Chu, and W.-K. Mak, “Pin accessibility-driven detailed placement refinement,” in Proceedings of International Symposium on Physical Design, pp. 133–140, 2017.
[11] P. Debacker, K. Han, A. B. Kahng, H. Lee, P. Raghavan, and L. Wang, “Vertical m1 routing-aware detailed placement for congestion and wirelength reduction in sub-10nm nodes,” in Proceedings of Design Automation Conference, 2017.
[12] A. B. Kahng, J. Kuang, W.-H. Liu, and B. Xu, “In-route pin access-driven placement refinement for improved detailed routing convergence,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 41, no. 3, pp. 784–788, 2021.
[13] M. M. Ozdal, “Detailed-routing algorithms for dense pin clusters in integrated circuits,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 3, pp. 340–349, 2009.
[14] T. Nieberg, “Gridless pin access in detailed routing,” in Proceedings of Design Automation Conference, pp. 170–175, 2011.
[15] X. Xu, B. Yu, J.-R. Gao, C.-L. Hsu, and D. Z. Pan, “Parr: Pin-access planning and regular routing for self-aligned double patterning,” in ACM Transactions on Design Automation of Electronic Systems, vol. 21, no. 3, pp. 1–21, 2016.
[16] X. Xu, Y. Lin, V. Livramento, and D. Z. Pan, “Concurrent pin access optimization for unidirectional routing,” in Proceedings of Design Automation Conference, 2017.
[17] I. Kang, D. Park, C. Han, and C.-K. Cheng, “Fast and precise routability analysis with conditional design rules,” in Proceedings of the 20th System Level Interconnect Prediction Workshop, 2018.
[18] A. B. Kahng, L. Wang, and B. Xu, “The tao of pao: Anatomy of a pin access oracle for detailed routing,” in Proceedings of Design Automation Conference, 2020.
[19] D. Park, I. Kang, Y. Kim, S. Gao, B. Lin, and C.-K. Cheng, “Road: Routability analysis and diagnosis framework based on sat techniques,” in Proceedings of International Symposium on Physical Design, pp. 65–72, 2019.
[20] A. B. Kahng, L. Wang, and B. Xu, “Tritonroute: The open-source detailed router,” in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 3, pp. 547–559, 2020.
[21] Cadence Innovus. https://www.cadence.com/.
[22] P. Spindler, U. Schlichtmann, and F. M. Johannes, “Abacus: Fast legalization of standard cell circuits with minimal movement,” in Proceedings of international symposium on Physical design, pp. 47–53, 2008.
[23] W.-H. Liu, S. Mantik, W.-K. Chow, Y. Ding, A. Farshidi, and G. Posser, “Ispd 2019 initial detailed routing contest and benchmark with advanced routing rules,” in Proceedings of International Symposium on Physical Design, pp. 147–151, 2019.
[24] J. Knechtel, J. Gopinath, M. Ashraf, J. Bhandari, O. Sinanoglu, and R. Karri, “Benchmarking security closure of physical layouts: Ispd 2022 contest,” in Proceedings of International Symposium on Physical Design, pp. 221–228, 2022.