簡易檢索 / 詳目顯示

研究生: 賴亞群
Lai, Ya-Chun
論文名稱: 奈米級互補金屬氧化半導體製程下之強健的靜態隨機存取記憶體設計技術
Resilient SRAM Design Techniques for Nanometer CMOS Technology
指導教授: 黃錫瑜
Huang, Shi-Yu
口試委員:
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2009
畢業學年度: 97
語文別: 英文
論文頁數: 107
中文關鍵詞: 互補金屬氧化半導體靜態隨機存取記憶體漏電流製程變異感測放大器
外文關鍵詞: CMOS, SRAM, Leakage, Process Variation, Sense Amplifier
相關次數: 點閱:3下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在先進的奈米級互補金屬氧化半導體(CMOS)製程下之內嵌式靜態隨機存取記憶體正遭遇良率危機,此乃是由於增加的製程變異導致惡化的記憶胞之靜態電性參數所造成,如靜態雜訊間隙及寫入間隙的下降。另外,顯著的漏電流導致更多靜態功率消耗和惡化訊號完整性,例如因過大位元線漏電流所造成之減少的驅動電流與漏電流的比值可能導致感測失敗,即不正確的讀取動作。近年來,許多電路設計技術已被提出用來增加記憶胞之操作間隙以便減少讀取和寫入失敗。然而,用來對付感測失敗之相關電路設計技術仍然不足,因此,我們發展了一些技術以處理感測失敗。X校正(X-calibration)意欲解決位元線漏電流問題,內建自我測試電路協助之時序追蹤提供強健之時序控制以便減少因感測放大器所造成之感測失敗。除此之外,自動電源關閉感測放大器避免了感測失敗並抑制傳統電流鏡感測放大器過度的靜態功率消耗,自我電壓調整不只可以自動地調整工作電壓至最低值,也能夠容忍溫度的變異。
    從製作的晶片之量測結果顯示採用X校正之記憶體電路能夠對抗達到320μA之位元線漏電流,為未採用X校正之傳統記憶體電路的4.18倍。另一批製作的晶片之量測結果也證明內建自我測試電路協助之時序追蹤可以保證記憶體電路在一些外加變異因素的情況下運作之正確性。此外,使用自動電源關閉感測放大器的64Kb靜態隨機存取記憶體設計之模擬結果顯示比傳統電流鏡感測放大器節省28%到87%之功率消耗是可達到的。模擬結果也顯示具有速度間隙之自我電壓調整可以使64Kb記憶體電路容忍溫度變化達125℃。再者,量測結果確認使用強健的自我電壓調整方案之8Kb記憶體電路操作在150MHz下可以達到40%之功率節省。因此,合併我們的方法和前人所提之讀寫協助技術可以提供強健之靜態隨機存取記憶體以對抗製程、電壓及溫度之變異,進而改善靜態隨機存取記憶體之良率。


    Embedded static random access memory (SRAM) in advanced nanometer complementary metal-oxide-semiconductor (CMOS) technology for microprocessor, application-specific integrated circuit (ASIC), and system-on-chip (SoC) has been encountering yield crisis due to increased die-to-die and within-die threshold voltage (Vt) variations, which may deteriorate DC electrical parameters such as static noise margin (SNM) and write margin. Moreover, prominent leakage currents incur more static power consumption and degrade signal integrity. For example, decreased on-current to off-current ratio owing to excessive bit-line leakage may result in sensing failure, i.e., incorrect read operation. Recently, numerous circuit design techniques have been proposed to expand operating margin of bit cells so as to diminish read and write failures. However, circuit design techniques to cope with sensing failure are still insufficient. Therefore, we developed some techniques to deal with sensing failure. X-calibration scheme aims at addressing the bit-line leakage problem. BIST-assisted timing-tracking (BATT) scheme provides robust timing control to alleviate sensing failure caused by latch-type sense amplifiers. Automatic-power-down (APD) sense amplifier avoids sensing failure and suppresses extravagant static power consumption for conventional current-mirror sense amplifiers. Furthermore, the on-chip self-VDD-tuning scheme not only automatically tunes the supply voltage of an SRAM macro to the minimum value but also tolerates temperature variation.
    Measurement results from fabricated chips demonstrate that the SRAM macro adopting X-calibration scheme can cope with up to 320μA bit-line leakage (4.18 times larger than the baseline SRAM macro) and that BATT scheme can warrant correct functionality of the SRAM design under some injected variations. Additionally, simulation results of a 64Kb SRAM design using the APD sense amplifier in a 22-nm predictive technology model (PTM) show that power reduction of 28%-87% over the traditional current-mirror sense amplifier is achievable. Simulation results in a 0.18-μm CMOS process show that a 64Kb SRAM macro employing the self-VDD-tuning scheme with speed margining can tolerate temperature variation up to 125℃. Measurement results from a test chip in a 0.18-μm CMOS process confirm that an 8Kb SRAM macro can achieve 40% power reduction at 150MHz by means of the resilient self-VDD-tuning scheme. Consequently, incorporating our techniques with the previously reported read/write assist techniques can provide a robust SRAM design against process, voltage, and temperature (PVT) variations, thus improving SRAM yield.

    Abstract (Chinese) i Abstract (English) ii Acknowledgements iv Contents vi List of Figures ix List of Tables xiv Chapter 1 Introduction 1 1.1 Objective 9 1.2 Dissertation Organization 11 Chapter 2 Nanometer SRAM Design Challenges 12 2.1 Bit-Line Leakage 12 2.2 Variation-Induced Timing Uncertainty 17 2.3 Degradation of Cell Operating Margin 18 Chapter 3 Design Techniques against Bit-Line Leakage 21 3.1 Bit-Line Leakage Compensation Scheme 21 3.2 Proposed X-Calibration Scheme 23 3.2.1 Basic Concept 24 3.2.2 Circuit Design and Operating Principle 25 3.2.3 Transient Analysis 31 3.3 Simulation Results 33 3.4 Chip Implementation and Measurement Results 37 3.4.1 Methods for Mimicking Bit-Line Leakage 39 3.4.2 Measurement Results 40 Chapter 4 Timing-Tracking Techniques for Latch-Type Sense Amplifiers 43 4.1 Conventional Timing-Tracking Scheme and its Challenge 43 4.2 BIST-Assisted Timing-Tracking Scheme 47 4.2.1 Overview of Circuit Design 48 4.2.2 Reconfigurable Delay Line 49 4.2.3 BIST-Assisted Timing-Tracking Controller 51 4.2.4 Memory Built-In Self-Test 52 4.2.5 Scalability and Area Overhead 53 4.3 Chip Fabrication 54 4.4 Measurement Results 56 4.5 Comparison and Discussion 60 Chapter 5 Automatic-Power-Down Sense Amplifier 62 5.1 Review of Voltage-Mode Sense Amplifiers 62 5.2 Proposed Automatic-Power-Down Sense Amplifier 66 5.2.1 Overall Architecture and Detailed Circuit 66 5.2.2 Impact of Process Variation on APD Circuitry 69 5.2.3 Dual-VHL Automatic-Power-Down Circuitry 71 5.3 Case Study: Three SRAM Designs 72 5.3.1 SRAM Circuit Implementation 72 5.3.2 Simulation Results 77 Chapter 6 On-Chip Self-VDD-Tuning Scheme 79 6.1 Overview of the Scheme 79 6.2 Circuit Design 81 6.2.1 Overview 82 6.2.2 On-Chip Self-Tuning Controller 83 6.2.3 Tunable VDD Generator 86 6.2.4 Area Overhead Estimation 90 6.3 Measurement Results 91 Chapter 7 Conclusion 95 Bibliography 97

    [1] T. Mizuno, J. Okamura, and A. Toriumi, "Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFETs," IEEE Trans. on Electron Devices, vol. 41, no. 11, pp. 2216-2221, Nov. 1994.
    [2] J. T. Horstmann, U. Hilleringmann, and K. F. Goser, "Matching analysis of deposition defined 50-nm MOSFET's," IEEE Trans. on Electron Devices, vol. 45, no. 1, pp. 299-306, Jan. 1998.
    [3] P. Stolk, F. Widdershoven and D. Klaassen, "Modeling statistical dopant fluctuations in MOS transistors," IEEE Trans. on Electron Devices, vol. 45, no. 9, pp. 1960-1971, Sep. 1998.
    [4] A. Asenov, "Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 μm MOSFET's: A 3-D atomistic simulation study," IEEE Trans. on Electron Devices, vol. 45, no. 12, pp. 2505-2513, Dec. 1998.
    [5] H. Yang et al., "Current mismatch due to local dopant fluctuations in MOSFET channel," IEEE Trans. on Electron Devices, vol. 50, no. 11, pp. 2248-2254, Nov. 2003.
    [6] H. Mahmoodi, S. Mukhopadhyay and K. Roy, "Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits," IEEE Journal of Solid-State Circuits, vol. 40, no. 9, pp. 1787-1796, Sep. 2005.
    [7] K. Takeuchi et al., "Understanding random threshold voltage fluctuation by comparing multiple fabs and technologies," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 467-470, Dec. 2007.
    [8] K. J. Kuhn, "Reducing variation in advanced logic technologies: approaches to process and design for manufacturability of nanoscale CMOS," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 471-474, Dec. 2007.
    [9] C. H. Diaz, H.-J. Tao, Y.-C. Ku, A. Yen, and K. Young, "An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling," IEEE Electron Device Letters, vol. 22, no. 6, pp. 287-289, June 2001.
    [10] A. Asenov, S. Kaya, and A.R. Brown, "Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness," IEEE Trans. on Electron Devices, vol. 50, no. 5, pp. 1254-1260, May 2003.
    [11] H.-W. Kim, J.-Y. Lee, J. Shin, S.-G. Woo, H.-K. Cho, and J.-T. Moon, "Experimental investigation of the impact of LWR on sub-100-nm device performance," IEEE Trans. on Electron Devices, vol. 51, no. 12, pp. 1984-1988, Dec. 2004.
    [12] H. Fukutome, Y. Momiyama, T. Kubo, Y. Tagawa, T. Aoyama, and H. Arimoto, "Direct evaluation of gate line edge roughness impact on extension profiles in sub-50-nm n-MOSFETs," IEEE Trans. on Electron Devices, vol. 53, no. 11, pp. 2755-2763, Nov. 2006.
    [13] A. Asenov, S. Kaya, and J.H. Davies, "Intrinsic threshold voltage fluctuations in decanano MOSFETs due to local oxide thickness variations," IEEE Trans. on Electron Devices, vol. 49, no. 1, pp. 112-119, Jan. 2002.
    [14] P. Andrei and I. Mayergoyz, "Quantum mechanical effects on random oxide thickness and doping fluctuations in ultrasmall semiconductor devices," Journal of Applied Physics, vol. 94, no. 11, pp. 7163-7172, Dec. 2003.
    [15] E. Cassan, P. Dollfus, S. Galdin, and P. Hesto, "Calculation of direct tunneling gate current through ultrathin oxide and oxide/nitride stacks in MOSFETs and H-MOSFETs," Microelectronics Reliability, vol. 40, no. 4-5, pp. 585-588, Apr.-May, 2000.
    [16] M. Koh et al., "Limit of gate oxide thickness scaling in MOSFETs due to apparent threshold voltage fluctuation induced by tunnel leakage current," IEEE Trans. on Electron Devices, vol. 48, no. 2, pp. 259-264, Feb. 2001.
    [17] V. S. Kaushik et al., "Estimation of fixed charge densities in hafnium-silicate gate dielectrics," IEEE Trans. on Electron Devices, vol. 53, no. 10, pp. 2627-2633, Oct. 2006.
    [18] G. Lucovsky, "Intrinsic limitations on the performance and reliability of high-k gate dielectrics for advanced silicon devices," Proc. of IEEE International Integrated Reliability Workshop Final Report, pp. 145-157, Oct. 2005.
    [19] H. C. Wen et al., "On oxygen deficiency and fast transient charge-trapping effects in high-k dielectrics," IEEE Electron Device Letters, vol. 27, no. 12, pp. 984-987, Dec. 2006.
    [20] M. Koike et al., "Effect of Hf–N bond on properties of thermally stable amorphous HfSiON and applicability of this material to sub-50nm technology node LSIs," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 4.7.1-4.7.4, Dec. 2003.
    [21] M. A. Quevedo-Lopez et al., "High performance gate first HfSiON dielectric satisfying 45 nm node requirements," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 17.1-17.4, Dec. 2005.
    [22] L. Capodieci, "From optical proximity correction to lithography-driven physical design (1996-2006): 10 years of resolution enhancement technology and the roadmap enablers for the next decade," Proc. of SPIE, vol. 6154, 615401, Feb. 2006.
    [23] K. Mistry et al., "A 45nm logic technology with high-k+metal gate transistors, strained silicon, 9 Cu interconnect layers, 193nm dry patterning, and 100% Pb-free packaging," IEEE International Electron Devices Meeting, IEDM Technical Digest, pp. 247-250, Dec. 2007.
    [24] M. Khare, "High-k/metal gate technology: a new horizon," IEEE Custom Integrated Circuits Conference, CICC '07, pp. 417–420, Sep. 2007.
    [25] R. Tian, X. Tang, and M.D.F. Wong, "Dummy-feature placement for chemical-mechanical polishing uniformity in a shallow-trench isolation process," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 21, no. 1, pp. 63-71, Jan. 2002.
    [26] H. Pilo, C. Barwin, G. Braceras, C. Browning, S. Lamphier, and F. Towler, "An SRAM design in 65-nm technology node featuring read and write-assist circuits to expand operating voltage," IEEE Journal of Solid-State Circuits, vol. 42, no. 4, pp. 813-819, April 2007.
    [27] E. Seevinck, F. List, and J. Lohstroh, "Static-noise margin analysis of MOS SRAM cells," IEEE Journal of Solid-State Circuits, vol. 22, no. 5, pp. 748-754, Oct. 1987.
    [28] A. J. Bhavnagarwala, X. Tang, and J. D. Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability," IEEE Journal of Solid-State Circuits, vol. 36, no. 4, pp. 658-665, April 2001.
    [29] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, "90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique," IEEE Journal of Solid-State Circuits, vol. 41, no. 3, pp. 705-711, March 2006.
    [30] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply," IEEE Journal of Solid-State Circuits, vol. 41, no. 1, pp. 146-151, Jan. 2006.
    [31] Fang-shi Lai and Chia-Fu Lee, "On-chip voltage down converter to improve SRAM read/write margin and static power for sub-nano CMOS technology," IEEE Journal of Solid-State Circuits, vol. 42, no. 9, pp. 2061-2070, Sept. 2007.
    [32] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits," IEEE Journal of Solid-State Circuits, vol. 42, no. 4, pp. 820-829, April 2007.
    [33] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y. Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, G. Okazaki, K. Satomi, H. Akamatsu, and H. Shinohara, "A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations," IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 180-191, Jan. 2008.
    [34] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, Y. Oda, K. Usui, T. Kawamura, N. Tsuboi, T. Iwasaki, K. Hashimoto, H. Makino, and H. Shinohara, "A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment," Proc. of Symp. on VLSI circuits, pp. 212-213, June 2008.
    [35] K. Agawa, H. Hara, T. Takayanagi, and T. Kuroda, "A bitline leakage compensation scheme for low-voltage SRAMs," IEEE Journal of Solid-State Circuits, vol.36, no.5, pp. 726-734, May 2001.
    [36] M. Khellah, Y. Ye, D. Somasekhar, D. Casper, B. Bloechel, T. Nguyen, G. Dermer, K. Zhang, G. Pandya, A. Farhang, and V. De, "bitline leakage compensation (BLC) and leakage reduction (BLR) techniques for 2-3GHz on-chip cache arrays in microprocessors on 90nm logic technology," Proc. of Symp. on VLSI Circuits, pp. 262-263, June 2005.
    [37] A. Natarajan, V. Shankar, A. Maheshwari, and W. Burleson, "Sensing design issues in deep submicron CMOS SRAMs," Proc. of IEEE Computer Society Annual Symposium on VLSI, pp. 42-45, May 2005.
    [38] T. Kobayashi, K. Nogami, T. Shirotori, and Y. Fujimoto, "A Current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture," IEEE Journal of Solid-State Circuits, vol. 28, no. 4, pp. 523-527, April 1993.
    [39] B. Wicht, T. Nirschl, and D. Schmitt-Landsiedel, "Yield and speed optimization of a latch-type voltage sense amplifier," IEEE Journal of Solid-State Circuits, vol. 39, no. 7, pp. 1148-1158, July 2004.
    [40] B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE Journal of Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.
    [41] K. Osada, J. L. Shin, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, "Universal-Vdd 0.65–2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell," IEEE Journal of Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001.
    [42] H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami, Y. Fujimura, K. Ando, T. Kusunoki, K. Yamaguchi, and N. Homma, "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE Journal of Solid-State Circuits, vol. 33, no. 11, pp. 1650-1658, Nov. 1998.
    [43] B. Wicht, J.-Y. Larguier, and D. Schmitt-Landsiedel, "A 1.5V 1.7ns 4k x 32 SRAM with a fully-differential auto-power-down current sense amplifier," ISSCC Dig. Tech. Papers, pp. 462-463, 508, Feb. 2003.
    [44] Y. Ye, M. Khellah, D. Somasekhar, A. Farhang, and V. De, "A 6-GHz 16-kB L1 cache in a 100-nm dual-VT technology using a bitline leakage reduction (BLR) technique," IEEE Journal of Solid-State Circuits, vol. 38, no. 5, pp. 839-842, May 2003.
    [45] C. H. Hsiao and D. M. Kwai, "Measurement and characterization of 6T SRAM cell current," Proc. of the 2005 IEEE International Workshop on Memory Technology, Design, and Testing (MTDT'05), pp. 140-145, Aug. 2005.
    [46] Y.-C. Lai and S.-Y. Huang, "X-calibration: a technique for combating excessive bitline leakage current in nanometer SRAM designs," IEEE Journal of Solid-State Circuits, vol. 43, no. 9, pp. 1964-1971, Sept. 2008.
    [47] R. Venkatraman, R. Castagnetti, and S. Ramesh, "The statistics of device variations and its impact on SRAM bitcell performance, leakage and stability," Proc. of the 7th International Symposium on Quality Electronic Design (ISQED'06), pp. 190-195, March 2006.
    [48] B. Cheng, S. Roy, and A. Asenov, "The impact of random doping effects on CMOS SRAM cell," Proc. of the 30th European Solid-State Circuits Conference (ESSCIRC 2004), pp. 219-222, Sept. 2004.
    [49] H. Nguyen, "Feedforward-controlled sense amplifier," US Patent 6300816, Oct. 9, 2001.
    [50] Predictive Technology Model (PTM). Nanoscale Integration and Modeling (NIMO) Group, Arizona State Univ., Phoenix, AZ [Online]. Available: http://www.eas.asu.edu/~ptm.
    [51] W. Zhao and Y. Cao, "New generation of predictive technology model for sub-45 nm design exploration," Proc. of Int. Symp. Quality Electronic Design, March 2006, pp. 585-590.
    [52] CIC Referenced Flow for Cell-based IC Design, Technical Report, CIC-DSD-RD-08-01, V1.0, National Chip Implementation Center, Hsinchu, Taiwan, May 2008.
    [53] Y.-C. Lai and S.-Y. Huang, "A resilient and power efficient automatic-power-down sense amplifier for SRAM design," IEEE Trans. on Circuits and Systems II: Express Briefs, vol. 55, no. 10, pp. 1031-1035, Oct. 2008.
    [54] Y.-C. Lai and S.-Y. Huang, "Robust SRAM design via BIST-assisted timing-tracking (BATT)," IEEE Journal of Solid-State Circuits, vol. 44, no. 2, pp. 642-649, Feb. 2009.
    [55] J. L. Shin, B. Petrick, M. Singh, and A. S. Leon, "Design and implementation of an embedded 512-KB level-2 cache subsystem," IEEE Journal of Solid-State Circuits, vol. 40, no. 9, pp. 1815-1820, Sept. 2005.
    [56] Y. Wang, H. J. Ahn, U. Bhattacharya, Z. Chen, T. Coan, F. Hamzaoglu, W. M. Hafez, C.-H. Jan, P. Kolar, S. H. Kulkarni, J.-F. Lin, Y.-G. Ng, I. Post, L. Wei, Y. Zhang, K. Zhang, and M. Bohr, "A 1.1 GHz 12μA/Mb-leakage SRAM design in 65 nm ultra-low-power CMOS technology with integrated leakage reduction for mobile applications," IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 172-179, Jan. 2008.
    [57] S. Mukhopadhyay, H. Mahmoodi, and K. Roy, "Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 12, pp. 1859-1880, Dec. 2005.
    [58] Y. S. Dhillon, A. U. Diril, A. Chatterjee, and A. D. Singh, "Analysis and optimization of nanometer CMOS circuits for soft-error tolerance," IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 5, pp. 514-524, May 2006.
    [59] T. Granlund, B. Granbom, and N. Olsson, "Soft error rate increase for new generations of SRAMs," IEEE Trans. Nucl. Sci., vol. 50, no. 6, pp. 2065-2068, Dec. 2003.
    [60] P. E. Dodd and L. W. Massengill, "Basic mechanisms and modeling of single-event upset in digital microelectronics," IEEE Trans. Nucl. Sci., vol. 50, no. 3, pp. 583-602, Jun. 2003.
    [61] J. Wang, A. Singhee, R. A. Rutenbar, and B. H. Calhoun, "Statistical modeling for the minimum standby supply voltage of a full SRAM array," Proc. of the 33th European Solid-State Circuits Conference (ESSCIRC 2007), pp. 400-403, Sept. 2007.
    [62] A. Pavlov, M. Sachdev, and J. P. de Gyvez, "Weak cell detection in deep-submicron SRAMs: a programmable detection technique," IEEE Journal of Solid-State Circuits, vol. 41, no. 10, pp. 2334-2343, Oct. 2006.
    [63] F. Hamzaoglu, K. Zhang, Y. Wang, et al., "A 3.8 GHz 153 Mb SRAM design with dynamic stability enhancement and leakage reduction in 45 nm high-k metal gate CMOS technology," IEEE Journal of Solid-State Circuits, Vol. 44, No. 1, pp. 148-154, Jan. 2009.

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)

    QR CODE