研究生: |
劉彥辰 Liu, Yen-Chen |
---|---|
論文名稱: |
應用於低供給電壓系統記憶體具裕度增強及自動調零架構之小偏移電壓感測放大器 Small Offset Voltage Sense Amplifier with Margin Enhancement and Auto-Zero Schemes for Memories in Low Supply Voltage System |
指導教授: |
張孟凡
Chang, Meng-Fan |
口試委員: |
洪浩喬
邱瀝毅 |
學位類別: |
碩士 Master |
系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
論文出版年: | 2014 |
畢業學年度: | 103 |
語文別: | 英文 |
論文頁數: | 64 |
中文關鍵詞: | 電阻式記憶體 、感測放大器 、自動調零 、飄移 、裕度 |
外文關鍵詞: | ReRAM, Sense Amplifier, Auto Zero, Offset, Margin |
相關次數: | 點閱:4 下載:0 |
分享至: |
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
近年來,非揮發性記憶體相當普及且應用極廣,其中快閃記憶體提供了成本低廉、大容量且無須供給電源的資料儲存,很快地成為非揮發性記憶體中的主流。然而,快閃記憶體製程技術雖成熟,其需高壓又耗時的寫入抹除週期使這些優勢失色許多;更糟的是,快閃記憶體於製程微縮時遭遇困難,低壓環境下的微弱電流、閾值電壓變異大且需要高壓防護都限制了設計彈性。相較之下,新興非揮發性記憶體能有效緩解那些隱憂,極具潛力取代快閃記憶體,成為下世代非揮發性儲存的解決之道。
接觸點電阻式記憶體(Contact ReRAM)具較低的寫入電壓與功耗、更快的寫入週期,同時完全相容於CMOS純邏輯製程,在各操作電壓下皆呈現不錯的特性,因此對我們低功耗的需求極具吸引力。然而,接觸點電阻式記憶體的小阻率及偏高的低阻態阻值也使其感測困難。為解決上述新衍生的問題讓此作更適合低壓操作,我們提出一個具偏移抑制及裕度增強架構的感測放大器來提升低壓環境的操作速度及良率。我們透過自動調零機制實現飄移抑制架構,能有效地將跳閘點歸零以減少感測放大器偏移,在1V獲得75.5%的提升,於0.3V獲得65%的提升。裕度增強架構透過耦合電容放大輸入差,減少低壓環境下冗長的位元線電壓發展時間,0.3V元件電流變得十分微弱時尤其明顯。縱使操作於0.5V以下且受時控偏移影響時其效率會衰減,但仍維持55%左右的改良。同時使用兩架構,覆蓋4個標準差的整體感測速度約提升15%;良率方面,僅需20mV的輸入差即可達到4個標準差覆蓋。
我們在256Kb的接觸點電阻式記憶體晶片中實現此提案,於台積65奈米標準CMOS製程下製造。量測結果和預期相去不遠,偏移電壓受抑制,在1V時低於40mV,0.3V時低於16.7mV。4個標準差覆蓋下的存取時間分別於1V、0.5V、0.3V提升至6.28ns、34.6ns及360ns。
These years, non-volatile memory is popular and widely employed in various applications. Flash memory provides cost-effective large capacity power-off storage; soon it becomes the mainstream of non-volatile memory. Although technology of Flash memory is mature, demands for high program/erase voltage and long program/erase cycle make those advantages a little gloomy. Even worse, Flash is confronted with tough challenges of scaling; issues of small cell current ICELL under low supply voltage, great VTH variation and high voltage protection during program/erase limit the design flexibility. On the contrary, emerging non-volatile memory can significantly relax those concerns, and they have great potential to replace the Flash memory, being a promising candidate as the next generation solution of non-volatile storage.
With characteristics of lower program voltage/power, faster programming cycle and CMOS logic process compatible, CRRAM performs excellent from standard to low supply voltage. Hence, it is very attractive to us for low power. However, CRRAM suffers from small R-ratio and high resistive LRS state, which increase the difficulty of sensing. In order to deal with the arising issues described above for better fitting low supply voltage application, we propose a SA with Offset Suppression and Margin Enhancement scheme to improve the speed and yield under scaled VDD environment. Offset Suppression Scheme is realized by Auto-Zero technique, which can significantly reduce SA offset by VTRIP zeroing, achieving 75.5% and 65% improvement at 1V and 0.3V supply voltage respectively. Margin Enhancement Scheme can enlarge the input swing by coupling capacitor, reducing lengthy VBL developing time especially when ICELL becomes tiny at 0.3V. Although the efficiency decays under 0.5V VDD with timing skew, wet still gets 55% margin expansion. With these two scheme, overall sensing speed with 4-Sigma coverage is around 15% improved; as for yield, it requires only 20mV input difference to cover 4-Sigma variation.
Proposed SA is implemented in a 256Kb CRRAM macro, which is fabricated in TSMC 65nm Generic CMOS process. Measurement results roughly match our prediction; the VOS is suppressed under 40mV at 1V and 16.7mV at 0.3V. Access time with 4-Sigma coverage is improved to 6.28ns, 34.6ns and 360ns at 1V, 0.5V and 0.3V respectively.
[1] K. Itoh, VLSI Memory Chip Design, Springer-Verlag, NY, 2001.
[2] S. Rusu, et al., “A dual-core multi-threaded Xeon processor with 16MB L3 cache,” ISSCC Dig. Tech. Papers, pp. 102–103, Feb. 2006.
[3] L. Benini, et al., “Networks on chips: A new soc paradigm,” IEEE Computer, vol. 35, no. 1, pp. 70–78, Jan. 2002.
[4] G. Goossens, et al, “Synthesis of flexible IC architectures for medium throughput real-time signal processing,” J. VLSI signal processing, vol.5, no.4, Kluwer Academic Publishers, Boston, 1993.
[5] ITRS, SEMATECH, Industrial Terrace Suite, Austin , 2001.
[6] Denali, Denali Memory Report, vol 1, issue 4, May 2002
[7] F. Balasa, et al., “Background memory area estimation for multidimensional signal processing systems”. IEEE Trans. VLSI system, June 1995.
[8] Toy, Wing and Zee, Benjamin, “Computer Hardware/Software Architecture, Prentice Hall. p.30.
[9] Hulfang Qin, et al., “SRAM leakage suppression by minimizing standby supply voltage,” Quality Electronic Design, 2004. Proceedings. 5th International Symposium on , vol., no., pp.55,60, 2004
[10] Koji Nii, et al., “A Low Power SRAM using Auto-Backgate-Controlled MT-CMOS,” International Symposium on Low Power Electronics and Design, pp. 293-298, Aug. 1998.
[11] Chikayoshi Morishima, et al., “A 1-V 20-ns 512-Kbit MT-CMOS SRAM with Auto-Power-Cut Scheme Using Dummy Memory Cells,” European Solid-State Circuit Conference , pp. 452-455, Sept. 1998.
[12] K.-B. Lee and T.-S. Chang, "Soc memory system design, " in Essential Issues in SOC Design, Y.-L. S. Lin, Ed. Springer Netherlands, 2006, pp. 75-78.
[13] Dong Uk Lee, et al., "25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV," Solid-State Circuits Conference Digest of Technical Papers, 2014 IEEE International , vol., no., pp.432,433, 9-13 Feb. 2014
[14] A. K. Khan, et al., “A 150-MHz graphics rendering processor with 256-Mb embedded DRAM”, IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1775-1784, Nov. 2001.
[15] M. Takahashi et al., “A 60-MHz 240-mW MPEG-4 videophone LSI with 16-Mb embedded D,RAM”, IEEE J. Solid-State Circuits, vol. 35, no. 11, pp. 1713-1721, Nov. 2000.
[16] B. Dipert, “Embedded Memory: The All Purpose Core”, EDN Magazine, Mar. 1998.
[17] Hanlon, A G., "Content-Addressable and Associative Memory Systems a Survey," Electronic Computers, IEEE Transactions on , vol.EC-15, no.4, pp.509,521, Aug. 1966
[18] Chao-Ching Wang, et al., "An Adaptively Dividable Dual-Port BiTCAM for Virus-Detection Processors in Mobile Devices," Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International , vol., no., pp.390,622, 3-7 Feb. 2008
[19] Matsunaga, S., et al., "A 3.14 um2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture," VLSI Circuits (VLSIC), 2012 Symposium on , vol., no., pp.44,45, 13-15 June 2012
[20] Li-Yue Huang, et al., "ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing," VLSI Circuits Digest of Technical Papers, 2014 Symposium on , vol., no., pp.1,2, 10-13 June 2014
[21] N. Ohtsuka, et al., “A 4-Mbit CMOS EPROM,” IEEE J. Solid-State Circuits, vol. 22, pp. 669–675, Oct. 1987
[22] K. Ohsak, et al., “A single poly EEPROM cell structure for use in standard CMOS processes,” IEEE J. Solid-State Circuits, vol. 29, pp. 311–316, Mar. 1994.
[23] C. Kuo, et al., “A 512-b Flash EEPROM embedded in a 32-b microcontroller,” IEEE J. Solid-State Circuits, vol. 27, pp. 574–582, Apr. 1992.
[24] Chung, Shine, et al., "A 1.25µm2 cell 32Kb electrical fuse memory in 32nm CMOS with 700mV VDDmin and parallel/serial interface," VLSI Circuits, 2009 Symposium on , vol., no., pp.30,31, 16-18 June 2009.
[25] J.Wee, et al., “An antifuse EPROM circuitry scheme for field-programmable repair in DRAM,” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp. 1408–1414, Oct. 2000.
[26] S. B. Herner, “Vertical p-i-n polysilicon diode with antifuse for stackable field-programmable ROM,” IEEE Electron Device Lett., vol. 25, no. 5, pp. 271–273, May 2004.
[27] G. Zhang, et al., “An electro-thermal model for metal-oxide-metal antifuses,” IEEE Trans. Electron Devices, vol. 42, pp. 1548–1558, 1995
[28] Webfeet Inc., “Semiconductor industry outlook,” Non-Volatile Memory Conference, Santa Clara, CA., 2002
[29] IC Insights, “Total Flash Memory Market Will Surpass DRAM for First Time in 2012”, http://www.icinsights.com/data/articles/documents/485.pdf
[30] Pavan, P., et al., "Flash memory cells-an overview," Proceedings of the IEEE , vol.85, no.8, pp.1248,1271, Aug 1997
[31] Bez, R., et al., "Introduction to flash memory," Proceedings of the IEEE , vol.91, no.4, pp.489,502, April 2003
[32] S. Lai, “Flash memories: Where we were and where we are going,” in IEDM Tech. Dig., 1998, pp. 971–973.
[33] P. Pavan, et al., “Flash memory cells—An overview,” Proc. IEEE, vol. 85, pp. 1248–1271, Aug. 1997.
[34] P. Pavan and R. Bez, “The industry standard Flash memory cell,” in Flash Memories, P. Cappelletti et al., Ed. Norwell, MA: Kluwer, 1999.
[35] F. Masuoka, et al., “New ultra high density EPROM and Flash with NAND structure cell,” in IEDM Tech. Dig., 1987, pp. 552–555.
[36] S. Aritome, “Advance Flash memory technology and trends for file storage application,” in IEDM Tech Dig., 2000, pp. 763–766
[37] R. Bez, et al., "Introduction to flash memory," Proceedings of the IEEE, vol. 91, no. 4, pp. 489-502, 2003.
[38] Shin YunSeung, "Non-volatile memory technologies for beyond 2010," IEEE Symposium on VLSI Circuits, Digest of Technical Papers, pp. 156-159, 2005
[39] Y. Koh, "NAND Flash Scaling Beyond 20nm," IEEE International Memory Workshop, pp. 1-3, May 2009.
[40] K. Prall, "Scaling Non-Volatile Memory Below 30nm," IEEE Non-Volatile Semiconductor Memory Workshop, pp. 5-10, Aug. 2007.
[41] S. Lee, "Scaling Challenges in NAND Flash Device toward 10nm Technology," IEEE International Memory Workshop, pp. 1-4, May 2012.
[42] R. Takemura, et al., "A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme," Solid-State Circuits, IEEE Journal of, vol. 45, pp. 869-879, 2010.
[43] J. J. Nahas, et al., "A 180 Kbit Embeddable MRAM Memory Module," Solid-State Circuits, IEEE Journal of, vol. 43, pp. 1826-1834, 2008.
[44] C. Gerardi, et al., "Performance and reliability of a 4Mb Si nanocrystal NOR Flash memory with optimized 1T memory cells," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2008.
[45] C. Villa, et al., "A 125 MHz burst-mode flexible read-while-write 256 Mbit 2b/c 1.8V NOR flash memory," IEEE International Solid-State Circuits Conference Digest of Technical PapersInternational, pp. 52-584 Vol. 1, 2005.
[46] J. Javanifard, , et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 424-624, 2008.
[47] R. Cernea, et al., "A 34MB/s-Program-Throughput 16Gb MLC NAND with All-Bitline Architecture in 56nm," IEEE International Solid-State Circuits Conference Digest of Technical Papers. , pp. 420-624, 2008.
[48] Lue Hang-Ting, et al., "A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device," IEEE Symposium on VLSI Technology, pp. 131-132, 2010.
[49] R. Takemura, et al., "A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme," IEEE Journal of Solid-State Circuits, vol. 45, no. 4, pp. 869-879, 2010.
[50] J. J. Nahas, et al., "A 180 Kbit Embeddable MRAM Memory Module," IEEE Journal of Solid-State Circuits, vol. 43, no. 8, pp. 1826-1834, 2008.
[51] D. Gogl, et al., "A 16-Mb MRAM featuring bootstrapped write drivers," IEEE Journal of Solid-State Circuits, vol. 40, no. 4, pp. 902-908, 2005.
[52] F. Bedeschi, et al., "A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage," IEEE Journal of Solid-State Circuits, vol. 44, no. 1, pp. 217-227, 2009.
[53] On Hyung-rok, et al., "Enhanced write performance of a 64 Mb phase-change random access memory," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 48-584 Vol. 1, 2005.
[54] G. De Sandre, et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 268-269, 2010.
[55] Lee Kwang-Jin, et al., "A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput," IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 472-616, 2007.
[56] F. Bedeschi, et al., "A Multi-Level-Cell Bipolar-Selected Phase-Change Memory," IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 428-625, 2008.
[57] D. Halupka, S. Huda, W. Song, A. Sheikholeslami, K. Tsunoda, C. Yoshida, and M. Aoki, "Negative-resistance read and write schemes for STT-MRAM in 0.13um CMOS," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 256-257, 2010.
[58] A. Sheikholeslami and P. G. Gulak, "A survey of circuit innovations in ferroelectric random-access memories," Proceedings of the IEEE, vol. 88, no. 5, pp. 667-689, 2000.
[59] D. Takashima, et al., "A 100MHz ladder FeRAM design with capacitance-coupled-bitline cell," IEEE Symposium on VLSI Circuits, pp. 227-228, 2010.
[60] R. Ogiwara, et al., "A 0.5-μm, 3-V 1T1C, 1-Mbit FRAM with a variable reference bit-line voltage scheme using a fatigue-free reference capacitor," IEEE Journal of Solid-State Circuits, vol. 35, no. 4, pp. 545-551, 2000.
[61] R. Meyer, et al., "Oxide dual-layer memory element for scalable non-volatile cross-point memory technology," Non-Volatile Memory Technology Symposium, pp. 1-5, 2008.
[62] Chen Yu-Sheng, et al.," International Symposium on VLSI Technology, Systems, and Applications, pp. 37-38, 2009.
[63] N. Xu, et al., "A unified physical model of switching behavior in oxide-based RRAM," IEEE Symposium on VLSI Technology, pp. 100-101, 2008.
[64] Ho ChiaHua, et al., "A Highly Reliable Self-Aligned Graded Oxide WOx Resistance Memory: Conduction Mechanisms and Reliability," IEEE Symposium on VLSI Technology, pp. 228-229, 2007.
[65] Lee Byoungil and H. S. P. Wong, "NiO resistance change memory with a novel structure for 3D integration and improved confinement of conduction path," IEEE Symposium on VLSI Technology, pp. 28-29, 2009.
[66] Tseng Yuan Heng, et al., "Electron trapping effect on the switching behavior of contact ReRAM devices through random telegraph noise analysis," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 28.5.1-28.5.4, 2010.
[67] Tseng Yuan Heng, et al., "High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2009.
[68] Lee Myoung-Jae, et al., "2-stack 1D-1R Cross-point Structure with Oxide Diodes as Switch Elements for High Density Resistance RAM Applications," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 771-774, 2007.
[69] H. Y. Lee, et al., "Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2008.
[70] Lee Joonmyoung, et al., "Diode-less nano-scale ZrOx/HfOx ReRAM device with excellent switching uniformity and reliability for high-density cross-point memory applications," IEEE International Electron Devices Meeting Dig. Tech. Papers pp. 19.5.1-19.5.4, 2010.
[71] B. Gao, et al., "Oxide-based ReRAM switching mechanism: A new ion-transport-recombination model," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2008.
[72] Wang Ching-Hua, et al., "Three-dimensional 4F2 ReRAM cell with CMOS logic compatible process," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 29.6.1-29.6.4, 2010.
[73] Y. S. Chen, et al., "Highly scalable hafnium oxide memory with improvements of resistive distribution and read disturb immunity," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2009.
[74] C. Cagli, et al., "Evidence for threshold switching in the set process of NiO-based ReRAM and physical modeling for set, reset, retention and disturb prediction," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 1-4, 2008.
[75] G. Bersuker, et al., "Metal oxide ReRAM switching mechanism based on conductive filament microscopic properties," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 19.6.1-19.6.4, 2010.
[76] K. Aratani, et al., "A Novel Resistance Memory with High Scalability and Nanosecond Switching," IEEE International Electron Devices Meeting Dig. Tech. Papaers, pp. 783-786, 2007.
[77] G. De Sandre, et al., "A 90nm 4Mb embedded phase-change memory with 1.2V 12ns read access time and 1MB/s write throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 268-269, 2010.
[78] Sheu Shyh-Shyuan, et al., "A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 200-202, 2011.
[79] W. Otsuka, et al., "A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 210-211, 2011.
[80] C. J. Chevallier, et al., "A 0.13um 64Mb multi-layered conductive metal-oxide memory," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 260-261, 2010.
[81] S. Song, et al., "CMOS device scaling beyond 100 nm," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 235-238, 2000
[82] Jean-Pierre Colinge and Cynthia A. Colinge, Physics of Semiconductior Devices. New York, 2002, pp. 175-182.
[83] E. Morifuji, et al., "A 1.5 V high performance mixed signal integration with indium channel for 130 nm technology node," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 459-462, 2000.
[84] Shih Chun-Hsing, et al., "Effect of insulated shallow extension for the improved short-channel effect of sub-100 nm MOSFET," International Semiconductor Device Research Symposium, pp. 158-159, 2003.
[85] S. Severi, et al., "Diffusion-less junctions and super halo profiles for PMOS transistors formed by SPER and FUSI gate in 45 nm physical gate length devices," IEEE International Electron Devices Meeting Dig. Tech. Papers, pp. 99-102, 2004.
[86] N. Collaert, et al., "On the reverse short channel effect in deep submicron heterojunction MOSFET's and its impact on the current-voltage behavior," IEEE Transactions on Electron Devices, vol. 47, no. 6, pp. 1214-1220, 2000.
[87] W. Otsuka, et al., "A 4Mb conductive-bridge resistive memory with 2.3GB/s read-throughput and 216MB/s program-throughput," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 210-211, 2011.
[88] B. Wicht, et al., "Yield and speed optimization of a latch-type voltage sense amplifier," IEEE Journal of Solid-State Circuits, vol. 39, no. 7, pp. 1148-1158, 2004.
[89] Chung Chiu-Chiao, et al., "A novel high-speed sense amplifier for Bi-NOR flash memories," IEEE Journal of Solid-State Circuits, vol. 40, no. 2, pp. 515-522, 2005.
[90] B. Goll and H. Zimmermann, "A 65nm CMOS comparator with modified latch to achieve 7GHz/1.3mW at 1.2V and 700MHz/47uW at 0.6V," IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 328-329, 2009.
[91] M.-F. Chang, et al., "An offset tolerant current-sampling-based sense amplifier for sub-100nA-cell-current nonvolatile memory," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 206-207, Feb. 2011.
[92] M. Jefremow, et al., "Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 216-217, Feb. 2013.
[93] Meng-Fan Chang, et al., "A 0.5V 4Mb Logic-Process Compatible Embedded Resistive RAM (ReRAM) in 65nm CMOS Using Low Voltage Current-Mode Sensing Scheme with 45ns Random Read Time," IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, pp. 434-435, Feb. 2012.
[94] J. Javanifard, et al., "A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 424-624, Feb. 2008.
[95] M. Qazi, et al., "A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS," IEEE International Solid-State Circuits Conference Dig. Tech. Papers, pp. 350-351, Feb. 2010.
[96] Meng-Fan Chang, et al., "19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme," IEEE International Solid-State Circuits Conference Dig. Tech. Papers , vol., no., pp.332,333, 9-13 Feb. 2014