簡易檢索 / 詳目顯示

研究生: 陳建甫
Chen, Chien-Fu
論文名稱: 具有高記憶胞穩定性、速度與面積效率之雙重資料警覺寫入輔助及負壓讀取字元線之8T靜態隨機存取記憶體
An 8T SRAM with Dual Data-Aware Write-Assists and Negative Read Wordline for High Cell-Stability, Speed and Area-Efficiency
指導教授: 張孟凡
Chang, Meng-fan
口試委員: 洪浩喬
Hong, Hao-Chiao
邱瀝毅
Chiou, Lih-Yih
學位類別: 碩士
Master
系所名稱: 電機資訊學院 - 電機工程學系
Department of Electrical Engineering
論文出版年: 2013
畢業學年度: 101
語文別: 英文
論文頁數: 61
中文關鍵詞: 靜態隨機存取記憶體低電壓
外文關鍵詞: SRAM, low voltage
相關次數: 點閱:2下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 在日常生活中,靜態隨機存取記憶體存在於各種電子產品中,且於各種電路及系統單晶片中占據了越來越多的面積。這代表了靜態隨機存取記憶體佔據了晶片中大量的功耗。所以,如何減少靜態隨機存取記憶體功耗而又不降低操作性能是一個相當大的挑戰。
    要降低靜態隨機存取記憶體之功耗,降低操作電壓是一個有效的做法。但是降低操作電壓會使得靜態隨機存取記憶體面臨以下問題: (1) 讀取失真及半選擇失真 (2) 寫入能力及半選擇失真容忍之抵換 (3) 降低感測邊限造成的讀取錯誤跟低操作速度。過去的作品可以解決讀取失真的問題,但是都無法解決寫入能力及半選擇失真容忍之抵換,除非使用寫回的機制,但是寫回機制會耗去額外的時間跟功耗。以上的種種問題導致過去的作品無法於低壓下操作、並且有較好的操作速度。
    在這個作品,我們提出了一具有雙重資料警覺寫入輔助及負壓讀取字元線之八電晶體靜態隨機存取記憶體。受惠於雙重資料警覺控制之: (1)資料警覺之記憶胞源極電壓 (2) 資料警覺之寫入字元線,這個行基的雙重資料警覺寫入輔助初次解決了寫入能力及行列上的半選擇失真容忍之抵換問題。負壓讀取字元線加大了讀取位元線之振福且改善了讀取速度。
    透過六十五奈米互補式金氧半邏輯製程技術,建構出一容量為十六千字元之雙重資料警覺寫入輔助之八電晶體靜態隨機存取記憶體。藉由示波器以及測試機台PK2之量測,此晶片可於三百毫伏之操作電壓下以四十八百萬赫茲的頻率下運行且最低可達到兩百一十毫伏之操作電壓與七點三百萬赫茲之操作頻率。這個作品之品質因數: 記憶胞穩定性乘以操作頻率除以記憶胞面積乘以最低操作電壓為其他低操作電壓之靜態隨機存取記憶體之十四倍。 


    In our livelihood, Static Random Access Memory (SRAM) appears in the almost electronics and it is required much more area than other circuits in the SoC chip. It shows the SRAM used the most power of a chip. So, how to solve the power consumption issue of SRAM and not to reduce the operating performance of SRAM is a big challenge.
    To make the power consumption reduce for SRAM, lower operating voltage is an useful method. But SRAM operated at low VDD suffers the following: (1) read disturb and half-select disturb (2) write ability and half-select tolerance trade off, and (3) reduced sensing margin (SM) as well as read failure and slow speeds. Previous works achieved read-disturb-free operations; but do not solve the trade-off between the half-select tolerance and write ability without time-consuming and power-consuming write-back (WB) scheme. All of this issue makes previous work can’t operate at ultra low voltage with higher speed.
    In this work, we proposes an 8T cell with dual data-aware write-assist (D2AW) and negative read word-line (NRWL) schemes. The column-based D2AW provides, for the first time, the solution to the trade-off between the row/column half-select (HS)-static noise margins (SNM) and the write margin (WM) thanks to the dual data-aware controls of: (1) cell-VSS (DA-CVSS) and (2) write word-line (DA-WWL). NRWL expands the RBL voltage swing and improve the read speed.
    A fabricated 65nm CMOS logic process 128-row 16Kb D2AW8T SRAM achieved 7.3MHz/48MHz at VDD=210mV/300mV by oscilloscope and Personal Kalos 2 testing. The figure of merit (FOM): [cell stability (CS)*cycle frequency (f)]/[cell area (A)*minimum VDD (VDDmin)] is 14+x higher than that of other low-VDDmin SRAM cells.

    Contents 國立清華大學 碩士論文 I 摘要 V Abstract VII 致謝 VIII Contents IX List of Figure XI Chapter 1. Introduction 1 1.1 Background 1 1.2 About MOS Current Model in Ultra Low Voltage 5 1.3 Overview of this thesis 7 Chapter 2. Design Challenges of Ultra Low Voltage SRAM 9 2.1 Introduction for Conventional 6T SRAM 9 2.1.1 Static Noise Margin(SNM) of 6T SRAM Cell 10 2.1.2 Write Margin(WM) of 6T SRAM Cell 12 2.2 The Cell Stability Issue Conventional 6T SRAM 13 2.2.1 Read Disturb and Half-Select(HS) Disturb 14 2.2.2 Trade-off between WM and HS-SNM 16 2.3 The Sensing Margin of Ultra Low Voltage 17 2.3.1 Bit-line Leakage Current 18 2.3.2 Bit-line Clamping Current 19 2.4 The Speed Issue of Ultra Low Voltage SRAM 20 2.4.1 Read Speed Issue: Sensing Margin and Single-end Read 20 2.4.2 Write Speed Issue: Write-Back(WB) Scheme 21 2.5 Overview of proposed scheme 22 Chapter 3. Proposed Dual Data-Aware Write Assist (D2AW8T) SRAM Cell 23 3.1 Cell Structure 23 3.2 Array Structure 25 3.2.1 Vertical Write Word-line(WWL) scheme 25 3.2.2 Even/Odd WWL and Column Half-select 27 3.3 Write Assist Scheme: Data Aware Cell VSS 27 3.3.1 Data-Aware Cell VSS(DA-CVSS) for Write Assist 28 3.3.2 DA-CVSS vs. Column Half-select 29 3.4 Data-Aware Write Word-line(DA-WWL) 30 3.4.1 DA-WWL Operation 30 3.4.2 Half-Select for Different Case 32 3.5 Analysis Result 34 3.5.1 Write Margin Compare 34 3.5.2 Half-select (HS) SNM and Cell stability 36 3.5.3 Power Consumption 37 Chapter 4. Proposed Read Assist Scheme: Negative Read Word-line(NRWL) 39 4.1 NRWL Scheme and waveform 39 4.2 Analysis of NRWL 41 Chapter 5. Ultra Low Voltage SRAM Macro Implementation 43 5.1 Timing Control of the Replica Technique 43 5.2 Architecture of Proposed SRAM Macro 45 5.3 Test Chip Design 46 Chapter 6. Experimental Results and Conclusion 49 6.1 Chip Performance Measurement 49 6.2 Thesis Summary and Conclusion 52 Reference 56

    Reference
    [1] J. Rabaey, Low Power Design Essentials. Boston, MA: Springer-Verlag US, 2009.
    [2] K. Zhang, et al., "Low-Power SRAMs in Nanoscale CMOS Technologies,"IEEE Trans. Electron Devices, vol. 55, pp. 145-151, Jan. 2008.
    [3] Y.-C. Lai, et al., "Resilient Self-VDD-Tuning Scheme With Speed-Margining for Low-Power SRAM," IEEE J. Solid-State Circuits, vol. 44, pp. 2817-2823, Oct. 2009.
    [4] R. K. Krishnamurthy, "Ultra-low Voltage Microprocessors Design: Challenges and Solutions," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.
    [5] T. Sakurai, "Variability and Ultra-low Voltage Logic Design," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.
    [6] Y. Wang, et al., "A 4.0 GHz 291 Mb Voltage-Scalable SRAM Design in a 32 nm High-k + Metal-Gate CMOS Technology With Integrated Power Management," IEEE J. Solid-State Circuits, vol. 45, pp. 103-110, Jan. 2010.
    [7] M.-F. Chang, et al., "A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications," in VLSI Circuits, 2009 Symposium on, pp. 156-157, 2009.
    [8] K. Nii, et al., "A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations," IEEE J. Solid-State Circuits, vol. 43, pp. 180-191, Jan. 2008.
    [9] F. Hamzaoglu, et al., "A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology," IEEE J. Solid-State Circuits, vol. 44, pp. 148-154, Jan. 2009.
    [10] K. Zhang, et al., "SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction," IEEE J. Solid-State Circuits, vol. 40, pp. 895-901, Apr. 2005.
    [11] M. Yamaoka, et al., "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, pp.186-194, Jan. 2005.
    [12] N. Weste and D. Harris., CMOS VLSI Design : A Circuits and Systems Perspective 3rd ed. Boston: Pearson/Addison-Wesley, 2005.
    [13] B. Calhoun, "Low Energy Digital Circuit Design Using Sub-threshold Operation," Ph. D, Electrical and Computer Engineering, Massachusetts Institute of Technology, Cambridge, MA, U.S., 2005.
    [14] M. Qazi, et al., "A 512kb 8T SRAM Macro Operating Down to 0.57V with An AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS," ISSCC Dig. Tech. Papers, pp. 350-351, Feb. 2010.
    [15] M. E. Sinangil, et al., "A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 3163-3173, Nov. 2009.
    [16] B. Wong, et al., Nano-CMOS Circuit and Physical Design. Hoboken, N.J.: John Wiley, 2004.
    [17] A. Bhavnagarwala, "Voltage Scaling Constraints for Static CMOS Logic and Memory Circuits " Ph. D, Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, U.S., 2001.
    [18] K. Roy, et al., "Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits," Proceedings of the IEEE, vol. 91, pp. 305-327, Feb. 2003.
    [19] E. Seevinck, et al., "Static-noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. 22, pp. 748-754, Oct. 1987.
    [20] A. Agarwal, et al., "A 320mV-to-1.2V On-Die Fine-Grained Reconfigurable Fabric for DSP/Media Accelerators in 32nm CMOS," ISSCC Dig. Tech. Papers, pp. 328-329, Feb. 2010.
    [21] M. Wieckowski and M. Margala, "A portless SRAM Cell using stunted wordline drivers," in Circuits and Systems, 2008. ISCAS 2008. IEEE International Symposium on, pp. 584-587, 2008.
    [22] M. Wieckowski, et al., "Portless SRAM-A High-Performance Alternative to the 6T Methodology," IEEE J. Solid-State Circuits, vol. 42, pp. 2600-2610, Nov. 2007.
    [23] K. Nii, et al., "A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment," in VLSI Circuits, 2008 IEEE Symposium on, pp. 212-213, 2008.
    [24] D. P. Wang, et al., "A 45nm dual-port SRAM with write and read capability enhancement at low voltage," in SOC Conference, 2007 IEEE International, pp. 211-214, 2007.
    [25] S. A. Tawfik and V. Kursun, "Low power and robust 7T dual-Vt SRAM circuit," in Circuits and Systems, 2008. ISCAS 2008. IEEE International Symposium on, pp. 1452-1455, 2008.
    [26] J. Singh, et al., "Single ended 6T SRAM with isolated read-port for low-power embedded systems," in Design, Automation & Test in Europe Conference & Exhibition, 2009. DATE '09., pp. 917-922, 2009.
    [27] K. Takeda, et al., "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," IEEE J. Solid-State Circuits, vol. 41, pp. 113-121, Jan. 2006.
    [28] I. J. Chang, et al., "A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," IEEE J. Solid-State Circuits vol. 44, pp. 650-658, Feb. 2009.
    [29] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," Solid-State Circuits, IEEE Journal of, vol. 42, pp. 680-688, 2007.
    [30] M. Yabuuchi, et al., "A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist," in VLSI Circuits, 2009 Symposium on, pp. 158-159, 2009.
    [31] L. Chang, et al., "Stable SRAM cell design for the 32 nm node and beyond," in VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, pp. 128-129, 2005.
    [32] K. Tae-Hyoung, et al., "A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing," IEEE J. Solid-State Circuits, vol. 43, pp. 518-529, 2008.
    [33] B. H. C. A. Wang, and A. P. Chandrakasan,, "Sub-threshold Design for Ultra Low-Power Systems (Series on Integrated Circuits and Systems)." Secaucus, NJ, USA: Springer-Verlag New York,, 2006.
    [34] K. Nii, et al., "A 0.5V 100MHz PD-SOI SRAM with enhanced read stability and write margin by asymmetric MOSFET and forward body bias," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International, pp. 356-357, 2010.
    [35] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," IEEE J. Solid-State Circuits, vol. 42, pp. 680-688, 2007.
    [36] M. Yamaoka, et al., "90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique," IEEE J. Solid-State Circuits, vol. 41, pp. 705-711, Mar. 2006.
    [37] J. W. Tschanz, et al., "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," Solid-State Circuits, IEEE Journal of, vol. 37, pp. 1396-1402, 2002.
    [38] M. Saibal, et al., "Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring," in Test Conference, 2005. Proceedings. ITC 2005. IEEE International, pp. 10 pp.-1135, 2005.
    [39] M. Miyazaki, et al., "A 175 mV multiply-accumulate unit using an adaptive supply voltage and body bias (ASB) architecture," in Solid-State Circuits Conference, 2002. Digest of Technical Papers. ISSCC. 2002 IEEE International, pp. 58-444 vol.1, 2002.
    [40] S. Mukhopadhyay, et al., "Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS," Solid-State Circuits, IEEE Journal of, vol. 42, pp. 1370-1382, 2007.
    [41] W. Shyh-Chyi, et al., "A CMOS mismatch model and scaling effects," IEEE Electron Device Letters, vol. 18, pp. 261-263, June 1997.
    [42] Z. Guo, et al., "Large-Scale SRAM Variability Characterization in 45 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 3174-3192, Nov. 2009.
    [43] L.-T. Pang and B. Nikolic, "Measurements and analysis of process variability in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, pp. 1655-1663, May 2009.
    [44] H. Yamauchi, "Variation-Tolerant SRAM Circuit Designs," ISSCC Tutorial 2009.
    [45] H. Yamauchi, "A Discussion on SRAM Circuit Design Trend in Deeper Nanometer-Scale Technologies," IEEE Trans. Very Large Scale Integr. (VLSI) System, 2009.
    [46] T.-H. Kim, et al., "A Voltage Scalable 0.26 V, 64 kb 8T SRAM With Vmin Lowering Techniques and Deep Sleep Mode," IEEE J. of Solid-State Circuits, vol. 44, pp. 1785 - 1795, Jun. 2009.
    [47] J. P. Kulkarni, et al., "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE J. Solid-State Circuits, vol. 42, pp. 2303-2313, Oct. 2007.
    [48] N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy," IEEE J. Solid-State Circuits, vol. 43, pp. 141-149, Jan. 2008.
    [49] H. Fujiwara, et al., "Novel Video Memory Reduces 45% of Bitline Power Using Majority Logic and Data-Bit Reordering," IEEE Trans. Very Large Scale Integr. (VLSI) System, vol. 16, pp. 620-627, Jun. 2008.
    [50] T. Suzuki, et al., "A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses," IEEE J. Solid-State Circuits, vol. 43, pp. 2109-2119, Sep. 2008.
    [51] K. Nii, et al., "Synchronous Ultra-High-Density 2RW Dual-Port 8T-SRAM With Circumvention of Simultaneous Common-Row-Access," IEEE J. Solid-State Circuits, vol. 44, pp. 977-986, Mar. 2009.
    [52] A. J. Bhavnagarwala, et al., "A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing," IEEE J. Solid-State Circuits, vol. 43, pp. 946-955, Apr. 2008.
    [53] S. Ishikura, et al., "A 45 nm 2-port 8T-SRAM Using Hierarchical Replica Bitline Technique With Immunity From Simultaneous R/W Access Issues," IEEE J. Solid-State Circuits, vol. 43, pp. 938-945, Apr. 2008.
    [54] Jui-Jen Wu, et al., "A Large VTH/VDD Tolerant Zigzag 8T SRAM with Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme," IEEE Symposium on VLSI Circuits, pp. 103-104, 2010.
    [55] M. Conti, et al., "Layout-based statistical modeling for the prediction of the matching properties of MOS transistors," Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on, vol. 49, pp. 680-685, 2002.
    [56] K. Ishibashi, et al., "A 12.5-ns 16-Mb CMOS SRAM with common-centroid-geometry-layout sense amplifiers," Solid-State Circuits, IEEE Journal of, vol. 29, pp. 411-418, 1994.
    [57] M. Yoshimoto, et al., "A divided word-line structure in the static RAM and its application to a 64K full CMOS RAM," IEEE J. Solid-State Circuits, vol. 18, pp.479-485, Oct. 1983.
    [58] B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE J. Solid-State Circuits, vol. 33, pp. 1208-1219, Aug. 1998.
    [59] H. Nambu, et al., "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE J. Solid-State Circuits, vol. 33, pp. 1208-1219, Nov. 1998.
    [60] H. Mizuno and T. Nagano, "Driving source-line cell architecture for sub-1-V high-speed low-power applications," IEEE J. Solid-State Circuits, vol. 31, pp. 552-557, 1996.
    [61] H. Pilo, et al., "An SRAM Design in 65nm and 45nm Technology Nodes Featuring Read and Write-Assist Circuits to Expand Operating Voltage," in VLSI Circuits, 2006. Digest of Technical Papers. 2006 Symposium on, pp. 15-16, 2006.
    [62] A. Raychowdhury, et al., "PVT-and-Aging Adaptive Wordline Boosting for 8T SRAM Power Reduction," ISSCC Dig. Tech. Papers, pp. 352-353, Feb. 2010.
    [63] Y. Morita, et al., "An Area-Conscious Low-Voltage-Oriented 8T-SRAM Design under DVS Environment," in IEEE Symposium onVLSI Circuits, pp. 256-257, 2007.
    [64] M.E. Sinangil, et al., "A 45nm 0.5V 8T column-interleaved SRAM with on-chip reference selection loop for sense-amplifier," Solid-State Circuits Conference, 2009. A-SSCC 2009. IEEE Asian , vol., no., pp.225,228, 16-18 Nov. 2009
    [65] C. F. Chen, T.-H. Chang, L.-F. Chen, M.-F. Chang, and H. Yamauchi, “A 210mV 7.3MHz 8T SRAM with Dual Data-Aware Write-Assists and Negative Read Wordline for High Cell-Stability, Speed and Area-Efficiency,” Symposium on VLSI Circuits Dig. Tech. Papers, pp. 130-131, June 2013. (Accepted)
    [66] M. B. Chen, et al., “A 260mV L-shaped 7T SRAM with Bit-Line (BL) Swing Expansion Schemes Based on Boosted BL, Asymmetric-VTH Read-Port, and Offset Cell VDD Biasing Techniques,” Symposium on VLSI Circuits Dig. Tech. Papers, pp. 112-113, June 2012.
    [67] Ming-Hsien Tu, et al., "A Single-Ended Disturb-Free 9T Subthreshold SRAM With Cross-Point Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive Read Operation Timing Tracing," Solid-State Circuits, IEEE Journal of , vol.47, no.6, pp.1469,1482, June 2012

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)
    全文公開日期 本全文未授權公開 (國家圖書館:臺灣博碩士論文系統)
    QR CODE