簡易檢索 / 詳目顯示

研究生: 龍巧玲
Lung, Chiao-Ling
論文名稱: 考慮可靠度之三維晶片設計
Reliability-aware 3D IC Designs
指導教授: 張世杰
Chang, Shih-Chieh
口試委員: 黃婷婷
黃世旭
王廷基
麥偉基
陳宏明
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 資訊工程學系
Computer Science
論文出版年: 2012
畢業學年度: 100
語文別: 英文
論文頁數: 93
中文關鍵詞: 三維晶片可靠度矽穿孔時脈樹容錯溫度節能設計
外文關鍵詞: 3D ICs, Reliability, TSV, Clock tree, Fault-tolerant, Thermal, Energy-efficient designs
相關次數: 點閱:2下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 三維晶片(3D IC)被視為可以突破製程微縮限製的重要技術之一。藉由穿矽孔提供貫穿晶片的垂直連線路徑而實現的三維晶片優勢包括較短的連線尺寸,較小的封裝面積,較高的晶片密度與異質整合彈性等。然而,可靠度的議題,如穿矽孔良率問題與過熱的堆疊晶片已成為三維晶片量產的主要障礙之一。為了解決上述議題,我們在這篇論文中提出了三個原創的方法,以提昇三維晶片設計的可靠度。
    首先,我們提出一個可利用於三維時脈樹架構中的穿矽孔容錯架構。此一架構主要利用三維時脈網路設計中,堆疊前用來測試用的冗餘時脈樹,使得容錯所需的額外面積非常小。之後,我們針對三維多核心處理器,提出了一個熱導向的即時工作任務分配演算法。在這個方法裡,我們運用了漸近式的溫度分析,顯著的提昇了執行效能並得到準確度極高的結果。最後,我們又提出了一個應用於節能設計的電源模式感知時脈最佳化方法。相較於傳統設計中所使用的複雜而昴貴的技術,我們能以極少的成本達到同樣的目的。


    Three-dimensional integrated circuit (3D IC) is considered one of the most promising approaches at the limit of device scaling. The advantages of shorter interconnect lengths, smaller form factor, higher packing density, and the flexibility of heterogeneous integration, are realized by using through-silicon vias (TSVs) to connect the vertically stacking dies. However, the reliability issues, such as higher temperature effects and lower TSV yield rates, have become one of the major obstacles for 3D IC mass production. Furthermore, it is very arduous challenge to implement a single design to satisfy complicated clock tree constraints for an energy-efficient design with numerous operation power modes. To address the aforementioned issues, we originate three innovative ideas in this dissertation. First, for the issue of low TSV yield rate, a brand-new TSV fault-tolerant unit (TFU) is set forth to achieve yield improvement for the clock network of 3D ICs. The main idea is making use of a part of existing resources to lower the cost induced by establishing the fault-tolerant mechanisms. In the second work, we introduce a creative method, which significantly accelerate the speed of thermal simulation. By utilizing the method, we realize a real time thermal-aware task allocation, which can maintain the high accuracy property as well. Last, a power-mode-aware (PMA) clock skew optimization is presented for the energy-efficient designs. Instead of using complex and expensive methodologies, we merely using devices from the standard library to resolve the challenge of skew optimization in the energy-saving design. Thus, by utilizing the three innovative ideas, the robustness of 3D ICs can greatly improve.

    List of Figures 5 List of Tables 6 Chapter 1 Introduction 7 1.1 3D IC and the reliability issues 7 1.2 Organization 10 1.2.1 Fault-tolerant 3D clock networks 11 1.2.2 Thermal-aware on-line task allocation 13 1.2.3 Clock skew optimization for energy-efficient designs 17 Chapter 2 Fault-tolerant 3D clock networks 20 2.1 Preliminaries 22 2.1.1 TSV redundancy techniques 22 2.1.2 Pre-bond testable clock tree 24 2.2 TSV fault-tolerant unit (TFU) 26 2.2.1 Two-TSV based fault tolerant unit (2-TFU) 26 2.2.2 Skew balancing 28 2.2.3 Control units 30 2.3 TFU-embedded clock network generation 32 2.3.1 TFU integrated clock tree synthesis 32 2.3.2 Feasible range decision 34 2.4 Experimental results 35 2.5 Summary 40 Chapter 3 Thermal-aware on-line task allocation 41 3.1 Related Works 42 3.2 Problem Definition 43 3.2.1 Power model 43 3.2.2 Thermal model 44 3.2.3 Performance model 45 3.2.4 Problem formulation 46 3.3 Task allocation algorithm 46 3.3.1 Basic idea 47 3.3.2 Incremental updates of thermal simulation 48 3.3.3 Incremental task allocation (ITA) 50 3.3.4 Illustrative example 51 3.3.5 Overall algorithm and complexity analysis 53 3.4 Experimental Results 54 3.5 Summary 58 Chapter 4 Clock skew optimization for energy-efficient designs 59 4.1 Chip-level CTO 60 4.1.1 PMAB design 60 4.1.2 Characteristics of a PMAB 63 4.1.3 Modified PMAB design 64 4.1.4 Algorithm 67 4.2 Module-level CTO 70 4.3 Automatic framework 72 4.4 Experimental results 74 4.5 Summary 78 Chapter 5 Conclusion 79 References 80

    [1] A. Agarwal, "The Tile processor: A 64-core multicore for embedded processing," in High Performance Embedded Computing (HPEC) Workshop, Sep. 2007.
    [2] C. Albrecht, A. B. Kahng, B. Liu, I. I. Mandoiu, and A. Z. Zelikovsky, “On the skew-bounded minimum-buffer routing tree problem,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 22, no. 7, pp. 937–945, July 2003.
    [3] V. Arunachalam, and W. Burleson, “Low-power clock distribution in a multilayer core 3d microprocessor,” in Proc. of Great Lakes Symposium on VLSI (GLSVLSI), 2008, pp. 429–434.
    [4] L. Benini, A. Bogliolo, and G. D. Micheli, “A Survey of Design Techniques for System-Level Dynamic Power Management,” IEEE Trans. on VLSI Systems (TVLSI), vol. 8, pp. 299-316, Jun. 2000.
    [5] R. Berridge et al., “IBM POWER6 microprocessor physical design and design methodology,” IBM Journal of Research and Development, vol. 6, issue 5, pp. 685–714, Nov. 2007.
    [6] K. D. Boese, and A. B. Kahng, “Zero-skew clock routing trees with minimum wirelength,” in Proc. of IEEE 5th International ASIC Conference, pp. 1.1.1-1.1.5, 1992.
    [7] T. H. Chao, Y. C. Hsu, J. M. Ho, K. D. Boese, and A.B. Kahng, “Zero skew clock routing with minimum wire length,” IEEE Trans. on Circuits Systems, vol. 39, pp. 799-814, 1992.
    [8] C. C. N. Chu, and D. F. Wong, “An efficient and optimal algorithm for simultaneous buffer and wire sizing,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 18, pp. 1297-1304, Sept. 1999.
    [9] C. M. Chang, S. H. Huang, Y. K. Ho, J. Z. Lin, H. P. Wang, and Y. S. Lu, “Type-matching clock tree for zero skew clock gating,” in Proc. of Design Automation Conference (DAC), pp. 714-719, 2008
    [10] J. H. Chien, C. L. Lung, C. C. Hsu, Y. F. Chou, and D. M. Kwai, “Floorplanning 1024 Cores in a 3D-stacked Network On-chip with Thermal-aware Redistribution,” in Proc. of International Conference on Thermal and Thermomechanical Phenomena in Electronic Systems, (ITherm), pp. 1-6, June 2010.
    [11] J. H. Chien, C. L. Lung, K. J. Tsai, C. C. Hsu, T. S. Chen, Y. F. Chou, P. H. Chen, S. C. Chang, and D. M. Kwai, “Realization of 3-dimentional Virtual 126-core System with Thermal Sensor-network Using Metallic Thermal Skeletons,” in Proc. of International Conference on Electronic Components and Technology Conference (ECTC), pp. 873-879, June 2011.
    [12] J. H. Chien, C. L. Lung, T. W. Lin, K. J. Tsai, T. S. Chen, Y. F. Chou, P. H. Chen, S. C. Chang, and D. M. Kwai, “Design and Implementation of 3D-thermal Test Chip for Exploration of Package Effects,” in Proc. of International Conference on Microsystems Packaging Assembly and Circuits Technology Conference (IMPACT), pp. 260-263, Oct. 2011.
    [13] A. Cohen, F. Finkelstein, A. Mendelson, R. Ronen, and D. Rudoy, “On estimating optimal performance of CPU dynamic thermal management,” IEEE Computer Architecture Letter, vol. 2, no. 1, pp. 6, Jan.–Dec. 2003.
    [14] J.Cong, A.B. Kahng, and G.Robins, “F Matching-based methods for high-performance clock routing,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 12 no. 8, pp.1157–1169, Aug. 1993.
    [15] J. Cong, and K. S. Leung, "Optimal wiresizing under the distributed elmore delay model," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol.14, pp. 321-336, Mar. 1995.
    [16] A. K. Coskun, T. S. Rosing, K. A. Whisnant, and K. C. Gross, “Static and dynamic temperature-aware scheduling for multiprocessor SoCs,” IEEE Trans. on VLSI Systems (TVLSI), vol. 16, no. 9, pp. 1127–1140, 2008
    [17] A. K. Coskun, T. S. Rosing, J. Ayala, D. Atienza, and Y. Leblebici. “Dynamic thermal management in 3D multicore architectures,” in Proc. of Design, Automation & Test in Europe (DATE), 2009, pp. 1410–1415
    [18] A. K. Coskun, T. S. Rosing, and K. C. Gross, “Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 28, no. 10, pp. 1503–1516, Oct. 2009.
    [19] W. R. Davis et al., “Demystifying 3d ics: the pros and cons of going vertical,” IEEE Design and Test of Computers, vol. 22, no. 6, pp. 498–510, Nov. 2005.
    [20] M. P. Desai, R. Cvijetic, and J. Jensen, “Sizing of clock distribution networks for high performance CPU chips,” in Proc. of Design Automation Conference (DAC), pp. 389-394, 1996.
    [21] J. Donald, and M. Martonosi, “Techniques for Multicore Thermal Management: Classification and New Exploration,” in Proc. of International Society for Computers and Their Applications (ISCA), 2006, pp. 78–88.
    [22] M. Edahiro, “An efficient zero-skew routing algorithm,” in Proc. of Design Automation Conference (DAC), 1994, pp. 375–380.
    [23] R. Frand, “Sensors Advance Medical and Healthcare Applications,” in Design World, Aug. 2011. http://www.designworldonline.com/articles/7633/4/Sensors-Advance-Medical-and-Healthcare-Applications.aspx
    [24] E.G. Friedman, “Clock distribution networks in synchronous digital integrated circuits,” in Proc. IEEE, vol. 89, pp. 665-692, May 2001.
    [25] V. Hanumaiah, R. Rao, S. Vrudhula, and K. S. Chatha, “Throughput optimal task allocation under thermal constraints for Multi-core Processors,” in Proc. of Design Automation Conference (DAC), 2009, pp. 776–781.
    [26] V. Hanumaiah, S. Vrudhula, and K. S. Chatha, “Performance Optimal Online DVFS and Task Migration Techniques for Thermally Constrained Multi-Core Processors,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 30, no. 11, pp. 1677–1690, Nov. 2011.
    [27] M. Hashimoto, T. Yamamoto, and H. Onodera. “Statistical analysis of clock skew variation in H-tree structure,” in Proc. of International Symposium on Quality Electronic Design (ISQED), pp. 402-407, 2005.
    [28] S. Heo, K. Barr, and K. Asanovic, “Reducing power density through activity migration,” in Proc. of International Symposiym on Low Power Electronics and Design (ISLPED), 2003, pp. 217–222.
    [29] A. C. Hsieh, T. T. Hwang, M. H. Chang, M.H. Tsai, C. M. Tseng, and H. C. Li, “TSV redundancy: architecture and design issues in 3D IC,” in Proc. of Design, Automation & Test in Europe (DATE), 2010, pp. 1206–1211.
    [30] S. Hu, C. J. Alpert, J. Hu, S. K. Karandikar, Z. Li, W. Shi, and C. N. Sze, “Fast algorithms for slew-constrained minimum cost buffering,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 26, no. 11, pp.2009–2022, Nov. 2007.
    [31] W. Huang, M. R. Stan, K. Skadron, K. Sankaranarayanan, and S. Ghosh, “HotSpot: A compact thermal modeling method for CMOS VLSI systems,” IEEE Trans. on VLSI Systems (TVLSI), vol. 14, no. 5, pp. 501–513, May 2006.
    [32] W. Huang, K. Skadron, S. Gurumurthi, R. J. Ribando, and M. R. Stan, “Differentiating the roles of IR measurement and simulation for power and Temperature-Aware Design,” in Proc. of International Symposium on Performance Analysis of Systems and Software (ISPASS), Apr. 2009, pp. 1–10.
    [33] R. C. Johnson, “3M, IBM team to develop 3D IC adhesive,” in EE Times, Oct. 2011.
    [34] M. Kadin, and S. Reda, “Frequency and voltage planning for Multi-Core Processors Under Thermal Constraints,” in Proc. of International Conference on Computer Design (ICCD), 2008, pp. 463–470.
    [35] U. Kang et al., “8Gb 3D DDR3 DRAM using through-silicon-via technology,” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), 2009, pp. 130–132.
    [36] M. Kawano et al., “A 3D packaging technology for 4 Gbit stacked DRAM with 3 Gbps data transfer,” in Proc. of IEEE International Electron Devices Meeting (IEDM), pp. 1-4, Dec. 2006.
    [37] C. D. Killebrew, “L2 Cache to Off-chip Memory Networks for Chip,” http://www.eecs.berkeley.edu/Pubs/TechRpts/2008/EECS-2008-71.pdf
    [38] D. H. Kim et al., “3D-MAPS: 3D Massively Parallel Processor with Stacked Memory,” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), pp.188-190, 2012.
    [39] J. Kim, F. Wang, and M. Nowak, “Method and apparatus for providing through silicon via (TSV) redundancy,” United State Patent Application Publication. US 2010/0295600A1, Nov. 2010.
    [40] T.-Y. Kim, and T. Kim, “Clock tree synthesis with pre-bond testability for 3D stacked IC designs,” in Proc. of Design Automation Conference (DAC), 2010, pp. 184–190.
    [41] A. Krum, “Thermal management,” in The CRC handbook of thermal engineering, F. Kreith ed., Chap. 2, CRC Press, 2000.
    [42] M. Laisne, K. Arabi, and T. Petrov, “System and methods utilizing redundancy in semiconductor chip interconnects,” United State Patent Application Publication, US 2010/0060310A1, Mar. 2010.
    [43] D. L. Lewis, and H.-H. S. Lee, “A scan-island based design enabling pre-bond testbility in die-stcked microprocessors,” in Proc. of International Test Conference (ITC), 2007, pp. 1–8.
    [44] W. Liao, L. He, and K M. Lepak, “Temperature and supply voltage aware performance and power modeling at microarchitecture level,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 24, no. 7, pp. 1042–1053, Jul. 2005.
    [45] I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A low-overhead fault tolerance scheme for TSV-based 3D network on chip links,” in Proc. of International Conference on Computer-Aided Design (ICCAD), 2008, pp. 598–602.
    [46] C. L. Lung, Z. Y. Zeng, C. H. Chou, and S. C. Chang, “Clock skew optimization considering complicated power modes,” in Proc. of Design, Automation & Test in Europe (DATE), pp. 1474-1480, March 2010.
    [47] C. L. Lung, H. C. Hsiao, Z. Y. Zeng, and S. C. Chang, “LP-based Multi-mode Multi-corner Clock Skew Optimization,” in Proc. of International Symposium on VLSI Design Automation and Test (VLSI-DAT), pp. 335-338, April 2010.
    [48] C. L. Lung, Y. L. Ho, S. H. Huang, C. W. Hsu, J. L. Liao, S. Y. Huang, and S. C. Chang, “Thermal Analysis Experiences of a Tri-core SoC System,” in Proc. of International Conference on Green Circuits and Systems (ICGCS), pp. 589-594, June 2010.
    [49] C. L. Lung, Y. S. Su, S. H. Huang, Yiyu Shi, and S. C. Chang, “Robust 3D Clock Scheme,” in ACM International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU Workshop), March 2011.
    [50] C. L. Lung, Y. L. Ho, D. M. Kwai, and S. C. Chang, “Thermal-aware On-line Task Allocation for 3D Multi-core Processor Throughput Optimization,” in Proc. of Design, Automation & Test in Europe (DATE), pp. 1-6, March 2011.
    [51] C. L. Lung, Y. S. Su, S. H. Huang, Yiyu Shi, and S. C. Chang, “Fault-Tolerant 3D Clock Network, ” in Proc. of Design Automation Conference (DAC), June 2011,pp. 645-651.
    [52] C. L. Lung, J. H. Chien, Y. Shi, and S. C. Chang, “TSV Fault-tolerant Mechanisms with Application to 3D Clock Networks,” in Proc. of International SoC Design Conference (ISOCC), Nov. 2011
    [53] C. L. Lung, J. H. Chien, Y. F. Chou, D. M. Kwai, and S. C. Chang, “Three-dimensional Integrated Circuits Design for Thousand-core Processors: from Aspect of Thermal Management,” Chapter 2 of the book “VLSI Design”, InTech, Jan 2012 (ISBN: 979-953-307-884-7)
    [54] P. Mahoney, E. Fetzer, B. Doyle, and S. Naffziger “Clock Distribution on a Dual-Core, Multi-Threaded Itanium-Family Processor,” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), pp. 292-599, 2005.
    [55] J. Minz, X. Zhao, and S. K. Lim, “Buffered clock tree synthesis for 3D ICs under thermal variations,” in Proc. of Asia and South Pacific Design Automation Conference (ASP-DAC), 2008, pp. 504–509.
    [56] M. Mondal et al., “Thermally robust clocking schemes for 3D integrated circuits,” in Proc. of Design, Automation & Test in Europe (DATE), 2007, pp. 1206–1211.
    [57] F. Mulas, D. Atienza, A. Acquaviva, S. Carta, L. Benini, and G. D. Micheli, “Thermal Balancing Policy for Multiprocessor Stream Computing Platforms,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 28, no. 12, pp. 1870–1882, Dec. 2009.
    [58] S. Murali, et al., “Temperature-aware processor frequency assignment for MPSoCs using convex optimization,” in Proc. of International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), 2008, pp. 111–116.
    [59] J. L. Neves, and E. G. Friedman, “Optimal clock skew scheduling tolerant to process Variations,” in Proc. of Design Automation Conference (DAC), pp. 623-628, June 1996
    [60] U. Padmanabhan, Janet M. Wang, and J. Hu, “Statistical clock tree routing for robustness to process variations,” in Proc. of International Symposium on Physical Design (ISPD), pp. 149-156, 2006.
    [61] J Pangjun, and S. S. Sapatnekar, “Low-power clock distribution using multiple voltages and reduced swings,” IEEE Trans. on VLSI Systems (TVLSI), vol. 10, pp. 309-318, Jun. 2002.
    [62] A. Papanikolaou et al., “Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design,” in Proc. of IEEE International Electron Devices Meeting (IEDM), 2006, pp. 342–347.
    [63] V. F. Pavlidis, I. Savidis, and E. G. Friedman, “Clock Distribution Networks for 3-D Integrated Circuits,” in IEEE Custom Intergrated Circuits Conference (CICC), 2008, pp. 651-654.
    [64] Geert Van der Plas et al., “Design issues and considerations for low-cost 3D TSV IC technology,” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), 2010, pp. 148–150.
    [65] S. Pullela, N. Menezes, and L. T. Pillage, “Reliable non-zero skew clock tree using wire width optimization,” in Proc. of Design Automation Conference (DAC), pp. 165-170, 1993.
    [66] A. Rajaram, J. Hu, and R. Mahapatra, “Reducing clock skew variability via cross links,” in Proc. of Design Automation Conference (DAC), pp. 18-23, June 2004
    [67] A. Rajaram, and D. Z. Pan, “Robust chip-level clock tree synthesis for SOC designs,” in Proc. of Design Automation Conference (DAC), pp. 720-723, 2008
    [68] R. Rao, and S. Vrudhula. “Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors,” in Proc. of International Conference on Computer-Aided Design (ICCAD), 2008, pp. 537–542.
    [69] R. Rao, and S. Vrudhula, “Fast and accurate prediction of the steady state throughput of multi-core processors under thermal constraints,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 28, no. 10, pp. 1559–1572, Oct. 2009.
    [70] N. Rinaldi, “On the modeling of the transient thermal behavior of semiconductor devices,” IEEE Trans. on Electron Devices, vol. 48, no. 12, pp. 2796–2802, 2001.
    [71] K. Sheng, S. J. Finney, and B. W.Williams, “Thermal stability of IGBT high-frequency operation,” IEEE Trans. on Industrial Electronics, vol. 47, no. 1, pp. 9–16, 2000.
    [72] K. Skadron, M. R. Stan, W. Huang, and K. Sankaranarayanan, “A short tutorial on thermal modeling and management,” in IEEE Symposium on Low-Power and High-Speed Chips (Cool Chips XI), April 2008.
    [73] H. Su, and S. S. Sapatnekar, “Hybrid structured clock network construction,” in Proc. of International Conference on Computer-Aided Design (ICCAD), pp. 333-336, 2001
    [74] C. Sun, L. Shang, and R. P. Dick, “Three-dimensional multiprocessor system-on-chip thermal optimization,” in Proc. of International Conference on Hardware-Software Codesign and System Synthesis (CODES+ISSS), Oct. 2007, pp. 117–122.
    [75] C.-L. Tisse, H. Durant-Whyte, and R. A. Hicks, “An optical navigation sensor for micro aerial vehicles,” in Computer Vision and Image Understanding, vol. 105, no. 1, pp. 21–29, Jan. 2007.
    [76] A. W. Topol et al., “Three-dimensional integrated circuits,” IBM Journal of Research and Development, vol. 50, no. 4/5, pp. 491–506, July/September 2006.
    [77] J. L. Tsai, T. H. Chen, and C.C. Chen, “Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 23, pp. 565-573, April 2004.
    [78] A. Vassighi, and M. Sachdev, “Thermal runaway in integrated circuits,” IEEE Trans. on Device and Materials Reliability, vol. 6, no. 2, pp. 300–305, 2006.
    [79] H. Wang, J. Kim, Y. Shi, and J. Fan, “The Effects of Substrate Doping Density on the Electrical Performance of Through-SIlicon Vias,” in Proc. of Asia-Pacific EMC Symposium, Jeju Island, Korea, 2011
    [80] K. Wang, Y. Ran, and M. Marek-Sadowska, “General skew constrained clock network sizing based on sequential linear programming,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 24, pp. 773-782, May 2005.
    [81] J. Warnock et al., “POWER7TM Local Clocking and Clocked Storage Elements,” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), pp. 178-179, 2010.
    [82] H. Yoshikawa et al., “Chip-scale camera module (CSCM) using through-silicon-via (TSV),” in Technical Digest of the IEEE International Solid-State Circuits Conference (ISSCC), 2009, pp. 476–477.
    [83] X. Zhao, D. L. Lewis, H.-H. S. Lee, and S. K. Lim, “Pre-bond testable low-power clock tree design for 3D stacked ICs,” in Proc. of International Conference on Computer-Aided Design (ICCAD), 2009, pp. 184–190.
    [84] C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph, “Three-dimensional chip-multiprocessor run-time thermal management,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 27, no. 8, pp 1479–1492, Aug. 2008.
    [85] Q. Zhu, and W. W. M. Dai, “High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 15, pp. 1106–1118, Sep. 1996.
    [86] S. Zhang, and K. S. Chatha, “Approximation algorithm for the temperature-aware scheduling problem,” in Proc. of International Conference on Computer-Aided Design (ICCAD), 2007, pp. 281–288.
    [87] A practical guide to low-power design, Power Forward Initiative (PFI), http://www.powerforward.org/lp_guide/lp_guide3.pdf
    [88] Apple, http://www.apple.com/
    [89] AVS and DVS desgin creation, http://www.national.com/powerwise/files/AVS_DVS_Design_Creation.pdf
    [90] Cad contest, http://cad_contest.ee.ntu.edu.tw/cad09/Problem/97/A2_GlobalUniChip_081114.pdf
    [91] “IBM Cools 3-D Chips with H2O,” http://www.zurich.ibm.com/news/08/3D_cooling.html
    [92] IEEE 1481 Standard for Integrated Circuit (IC) Delay and Power Calculation System, http://standards.ieee.org
    [93] International Technology Roadmap for Semiconductors(ITRS), http://public.itrs.net/
    [94] Power management IC for Li-Ion powered systems, http://focus.ti.com/lit/ds/slvs670i/slvs670i.pdf
    [95] Sony, http://www.sony.com/
    [96] “Tile Processor User Architecture Manual, UG101-Rel. 2.4,” http://www.tilera.com/scm/docs/UG101-User-Architecture-Reference.pdf, Tilera Corporation, May 2011.

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)

    QR CODE