研究生: |
陳俊嘉 Chun-Chia Chen |
---|---|
論文名稱: |
考慮製程變異之可調式數位電路的設計方法與模擬環境 Design Methodology and Simulation Framework for Variation-Aware Cell-based Tunable Circuits |
指導教授: |
劉靖家
Jing-Jia Liou |
口試委員: | |
學位類別: |
碩士 Master |
系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
論文出版年: | 2008 |
畢業學年度: | 96 |
語文別: | 英文 |
論文頁數: | 72 |
中文關鍵詞: | 可調式電路 、製程變異 |
外文關鍵詞: | Cell-based Tunable Circuit, Process Variation |
相關次數: | 點閱:3 下載:0 |
分享至: |
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
當半導體的製程進入奈米的等級後,製程變異的影響 (process variation) 讓晶片在量產時,晶片的良率持續地惡化。在這篇論文中,我們提出一個以列電壓調控為基礎的可調式電路設計機制 (row-based tunable design)。利用此機制,使用者可以逐列地調整工作電壓 (supply voltage) 與基底電壓 (body bias)。這篇論文所使用的方法,是利用微調工作電壓,來修正下線後不符合規格的晶片,以減輕製程變異的影響。在我們的方法中,由於不同電壓的差距夠小,因此在高工作電壓與低工作電壓區域之間,不需要使用階層轉換器電路 (level conversion)。為了簡化設計的流程並減輕電路設計者的負擔,我們在自動繞線佈局 (auto-placement-and-route, APR) 的流程中,將變更電路設計的步驟自動化,並且讓這個變更電路的流程完全相容於現有的 cell-based 電路設計流程。
在實驗中,我們將可調式電路實作在180奈米製程上,並建立原型電路以提供模擬。在s9234可調式原型電路中,我們模擬所有可用的工作電壓與基底電壓的組合。發現以節省功率為目標的最佳電壓組合,可以節省漏電流功率達98%,且可節省的最佳動態功率可以達22%。而以電路延遲為目標的最佳電壓配置中,電路的路徑延遲平均可以減少19%,而且也可以將路徑延遲的分布集中20%。在電路面積使用方面,可調式元件所占面積小於整體電路面積5%,如果將電源閘控電路包含在內,在大型電路中所使用的面積比例約為整體電路的10%。
As the CMOS technology coming to nanometer scale, process variation increasingly deteriorates the yield of mass production. Here we propose to employ a row-based tunable design methodology which allows users to adjust the supply voltage, as well as the body bias. The method presented in this thesis is able to mitigate the effect of process variation by fine-tuning the supply voltages for fabricated chips that fail the expected specification. In our method, the voltage difference between rows is small enough so that the level conversion is not required between lower supply voltage cells and higher ones. In order to facilitate design process and reduce designers' efforts, we automate the process of modifying designs at the auto-placement-and-route (APR) stage. The modified flow is completely compatible to the currently adopted cell-based design flow.
In the experiments, we have applied our method on 180nm process node, and constructed a prototype of the tunable circuit. Then, the area estimation shows that the area overhead of the tunable components is less than 5%. Even including the power gating circuits, the total area overhead is just about 10% in large benchmarks. In all configurations of supply voltages and body biases, the best leakage and dynamic power savings of the tunable s9234 benchmark are 98% and 22%, respectively. Moreover, the path delays is reduced by 19% on average, and the deviation of path delay distribution tightened 20% for the best case.
[1] M. Choi and L. Milor, “Impact on circuit performance of deterministic within-die variation
in nanoscale semiconductor manufacturing,” IEEE Transactions on Computer-Aided Design
of Integrated Circuits and Systems, vol. 25, no. 7, pp. 1350–1367, 2006.
[2] M. Eisele, J. Berthold, D. Schmitt-Landsiedel, and R. Mahnkopf, “The impact of intra-die
device parameter variations on path delays and on the design for yield of low voltage digital
circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 5, no. 4,
pp. 360–368, 1997.
[3] K. Bowman, S. Duvall, and J. Meindl, “Impact of die-to-die and within-die parameter fluctuations
on the maximum clock frequency distribution for gigascale integration,” IEEE Journal
of Solid-State Circuits, vol. 37, no. 2, pp. 183–190, 2002.
[4] S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De, “Parameter variations
and impact on circuits and microarchitecture,” ACM/IEEE Design Automation Conference,
pp. 338–342, 2003.
[5] K. Usami and M. Horowitz, “Clustered voltage scaling technique for low-power design,”
Proceedings of the 1995 international symposium on Low power design, pp. 3–8, 1995.
[6] K. Usami, M. Igarashi, F. Minami, T. Ishikawa, M. Kanzawa, M. Ichida, and K. Nogami,
“Automated low-power technique exploiting multiple supply voltagesapplied to a media processor,”
IEEE Journal of Solid-State Circuits, vol. 33, no. 3, pp. 463–472, 1998.
[7] D. Lackey, P. Zuchowski, T. Bednar, D. Stout, S. Gould, J. Cohn, I. Div, and V. Essex Junction,
“Managing power and performance for system-on-chip designs using Voltage Islands,”
IEEE/ACM International Conference on Computer Aided Design, pp. 195–202, 2002.
[8] J. Carballo, J. Burns, S. Yoo, I. Vo, and V. Norman, “A semi-custom voltage-island technique
and its application to high-speed serial links,” Proceedings of the international symposium on
Low power electronics and design, pp. 60–65, 2003.
[9] J. Hu, Y. Shin, N. Dhanwada, and R. Marculescu, “Architecting Voltage Islands in Core-
Based System-on-a-Chip Designs,” Proceedings of the International Symposium on Low
Power Electronics and Design, pp. 180–185, 2004.
[10] H. Wu, I. Liu, M. Wong, and Y. Wang, “Post-placement voltage island generation under
performance requirement,” IEEE/ACM International Conference on Computer-Aided Design,
pp. 309–316, 2005.
[11] H. Wu, M. Wona, and I. Liu, “Timing-constrained and voltage-island-aware voltage assignment,”
ACM/IEEE Design Automation Conference, pp. 429–432, 2006.
[12] B. Liu, Y. Cai, Q. Zhou, and X. Hong, “Power driven placement with layout aware supply
voltage assignment for voltage island generation in dual-Vdd designs,” Asia and South Pacific
Conference on Design Automation, p. 6, 2006.
[13] L. Guo, Y. Cai, Q. Zhou, and X. Hong, “Logic and Layout Aware Voltage Island Generation
for Low Power Design,” Asia and South Pacific Conference on Design Automation, pp. 666–
671, 2007.
[14] K. Usami, T. Ishikawa, M. Kanazawa, and H. Kotani, “Low-power design technique for
ASICs by partially reducing supplyvoltage,” Proceedings of the Ninth Annual IEEE International
ASIC Conference and Exhibit, pp. 301–304, 1996.
[15] M. Igarashi, K. Usami, K. Nogami, F. Minami, Y. Kawasaki, T. Aoki, M. Takano, S. Sonoda,
M. Ichida, and N. Hatanaka, “A low-power design method using multiple supply voltages,”
Proceedings of the International Symposium on Low Power Electronics and Design, pp. 36–
41, 1997.
[16] S. Kulkarni, A. Srivastava, and D. Sylvester, “A New Algorithm for Improved VDD Assignment
in Low Power Dual VDD Systems,” Proceedings of the International Symposium on
Low Power Electronics and Design, pp. 200–205, 2004.
[17] A. Srivastava and D. Sylvester, “Minimizing total power by simultaneous V/sub dd//V/sub
th/assignment,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and
Systems, vol. 23, no. 5, pp. 665–677, 2004.
[18] C. Yeh, Y. Kang, S. Shieh, and J. Wang, “Layout techniques supporting the use of dual
supply voltages for cell-based designs,” ACM/IEEE Design Automation Conference, pp. 62–
67, 1999.
[19] J. Tschanz, S. Narendra, R. Nair, and V. De, “Effectiveness of adaptive supply voltage and
body bias for reducing impact of parameter variations in low power and high performance
microprocessors,” IEEE Journal of Solid-State Circuits, vol. 38, no. 5, pp. 826–829, 2003.
[20] T. Kuroda, K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y.Watanabe, K. Matsuda,
T. Maeda, et al., “Variable supply-voltage scheme for low-power high-speed CMOS
digital design,” IEEE Journal of Solid-State Circuits, vol. 33, no. 3, pp. 454–462, 1998.
[21] T. Burd and R. Brodersen, “Design issues for Dynamic Voltage Scaling,” Proceedings of the
International Symposium on Low Power Electronics and Design, pp. 9–14, 2000.
[22] L. Yuan and G. Qu, “Analysis of energy reduction on dynamic voltage scaling-enabled systems,”
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,
vol. 24, no. 12, pp. 1827–1837, 2005.
[23] T. Burd, T. Pering, A. Stratakos, and R. Brodersen, “A dynamic voltage scaled microprocessor
system,” IEEE Journal of Solid-State Circuits, vol. 35, no. 11, pp. 1571–1580, 2000.
[24] K. Choi, K. Dantu,W. Cheng, and M. Pedram, “Frame-based dynamic voltage and frequency
scaling for a MPEG decoder,” IEEE/ACM International Conference on Computer Aided Design,
pp. 732–737, 2002.
[25] K. Nowka, G. Carpenter, E. MacDonald, H. Ngo, B. Brock, K. Ishii, T. Nguyen, and J. Burns,
“A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic
frequency scaling,” IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1441–1447,
2002.
[26] M. Elgebaly and M. Sachdev, “Variation-Aware Adaptive Voltage Scaling System,” IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 5, pp. 560–571,
2007.
[27] B. Zhai, D. Blaauw, D. Sylvester, and K. Flautner, “The limit of dynamic voltage scaling
and insomniac dynamic voltage scaling,” IEEE Transactions on Very Large Scale Integration
(VLSI) Systems, vol. 13, no. 11, pp. 1239–1252, 2005.
[28] S. Das, S. Pant, D. Roberts, S. Lee, D. Blaauw, T. Austin, T. Mudge, and K. Flautner, “A
self-tuning DVS processor using delay-error detection and correction,” Digest of Technical
Papers. 2005 Symposium on VLSI Circuits, pp. 258–261, 2005.
[29] F.Worm, P. Thiran, and P. Ienne, “Optimizing Checking-Logic for Reliability-Agnostic Control
of Self-Calibrating Designs,” International Symposium on Quality Electronic Design, pp.
861–866, 2007.
[30] F. Ishihara, F. Sheikh, and B. Nikolic, “Level conversion for dual-supply systems,” IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 2, pp. 185–195,
2004.
[31] S. Kulkarni and D. Sylvester, “High performance level conversion for dual V/sub DD/design,”
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 12, no. 9, pp. 926–
936, 2004.
[32] A. Diril, Y. Dhillon, A. Chatterjee, and A. Singh, “Level-Shifter Free Design of Low Power
Dual Supply Voltage CMOS Circuits Using Dual Threshold Voltages,” IEEE Transactions on
Very Large Scale Integration (VLSI) Systems, vol. 13, no. 9, pp. 1103–1107, 2005.
[33] Y. Oowaki, M. Noguchi, S. Takagi, D. Takashima, M. Ono, Y. Matsunaga, K. Sunouchi,
H. Kawaguchiya, S. Matsuoka, M. Kamoshida, T. Fuse, S.Watanabe, A. Toriumi, S. Manabe,
and A. Hojo, “A sub-0.1 um circuit design with substrate-over-biasing,” Digest of Technical
Papers. IEEE International Solid-State Circuits Conference, pp. 88–89, 1998.
[34] S. Narendra, A. Keshavarzi, B. Bloechel, S. Borkar, and V. De, “Forward body bias for
microprocessors in 130-nm technology generation and beyond,” IEEE Journal of Solid-State
Circuits, vol. 38, no. 5, pp. 696–701, 2003.
[35] V. Khandelwal and A. Srivastava, “Active Mode Leakage Reduction Using Fine-Grained
Forward Body Biasing Strategy,” Proceedings of the International Symposium on Low Power
Electronics and Design, pp. 150–155, 2004.
[36] K. Seta, H. Hara, T. Kuroda, M. Kakumu, and T. Sakurai, “50% active-power saving without
speed degradation using standbypower reduction (SPR) circuit,” Digest of Technical Papers.
IEEE International Solid-State Circuits Conference, pp. 318–319, 1995.
[37] X. Liu and S. Mourad, “Performance of submicron CMOS devices and gates with substrate
biasing,” Proceedings of the IEEE International Symposium on Circuits and Systems, vol. 4,
2000.
[38] N. Jayakumar, S. Dhar, and S. Khatri, “A self-adjusting scheme to determine the optimum
RBB by monitoring leakage currents,” ACM/IEEE Design Automation Conference, pp. 43–
46, 2005.
[39] J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, and V. De, “Adaptive
body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor
frequency and leakage,” IEEE Journal of Solid-State Circuits, vol. 37, no. 11,
pp. 1396–1402, 2002.
[40] T. Chen and S. Naffziger, “Comparison of adaptive body bias (ABB) and adaptive supply
voltage (ASV) for improving delay and leakage under the presence of process variation,”
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 11, no. 5, pp. 888–
899, 2003.
[41] L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, “Design and optimization of low voltage
high performance dual threshold CMOS circuits,” ACM/IEEE Design Automation Conference,
pp. 489–494, 1998.
[42] J. Kao and A. Chandrakasan, “Dual-threshold voltage techniques for low-power digital circuits,”
IEEE Journal of Solid-State Circuits, vol. 35, no. 7, pp. 1009–1018, 2000.
[43] S. Jung, K. Kim, and S. Kang, “Low-swing clock domino logic incorporating dual supply and
dual threshold voltages,” ACM/IEEE Design Automation Conference, pp. 467–472, 2002.
[44] S. Kulkarni, D. Sylvester, and D. Blaauw, “A Statistical Framework for Post-Silicon Tuning
through Body Bias Clustering,” IEEE/ACM International Conference on Computer-Aided
Design, pp. 39–46, 2006.
[45] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M. Norishima,
M. Murota, M. Kako, et al., “A 0.9-V, 150-MHz, 10-mW, 4 mm 2, 2-D discrete cosinetransform
core processor with variable threshold-voltage (VT) scheme,” IEEE Journal of
Solid-State Circuits, vol. 31, no. 11, pp. 1770–1779, 1996.
[46] K. Suzuki, S. Mita, T. Fujita, F. Yamane, F. Sano, A. Chiba, Y. Watanabe, K. Matsuda,
T. Maeda, and T. Kuroda, “A 300 MIPS/W RISC core processor with variable supplyvoltagescheme
in variable threshold-voltage CMOS,” Proceedings of the IEEE Custom Integrated
Circuits Conference, pp. 587–590, 1997.
[47] T. Inukai, T. Hiramoto, and T. Sakurai, “Variable threshold voltage CMOS (VTCMOS) in
series connected circuits,” International Symposium on Low Power Electronics and Design,
pp. 201–206, 2001.
[48] H. Soeleman and K. Roy, “Ultra-low power digital subthreshold logic circuits,” Proceedings
of the International Symposium on Low Power Electronics and Design, pp. 94–96, 1999.
[49] H. Soeleman, K. Roy, and B. Paul, “Robust subthreshold logic for ultra-low power operation,”
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, no. 1, pp. 90–99,
2001.
[50] N. Jayakumar and S. Khatri, “A variation-tolerant sub-threshold design approach,”
ACM/IEEE Design Automation Conference, pp. 716–719, 2005.
[51] T. Chen and J. Gregg, “A low cost individual-well adaptive body bias (IWABB) scheme
for leakage power reduction and performance enhancement in the presence of intra-die variations,”
Proceedings of the Design, Automation and Test in Europe Conference and Exhibition,
vol. 1, 2004.
[52] J. Gregg and T. Chen, “Optimization of individual well adaptive body biasing (IWABB) using
a multiple objective evolutionary algorithm,” International Symposium on Quality of Electronic
Design, pp. 297–302, 2005.
[53] ——, “Post Silicon Power/Performance Optimization in the Presence of Process Variations
Using Individual Well-Adaptive Body Biasing,” IEEE Transactions on Very Large Scale Integration
(VLSI) Systems, vol. 15, no. 3, pp. 366–376, 2007.
[54] “Predictive Technology Model (PTM),” http://www.eas.asu.edu/ ptm/.
[55] Milkyway Environment Data Preparation User Guide, Synopsys Online Documentation,
Synopsys.
[56] M. Lee and H. Chiueh, “An Implementation of Integrable Low Power Techniques for Modern
Cell-Based VLSI Designs,” IEEE International Conference on Electronics, Circuits and
Systems, pp. 890–893, 2006.
[57] Astro User Guide, Synopsys Online Documentation, Synopsys.
[58] B. Lee, “Technical Review of Low Dropout Voltage Regulator Operation and Performance,”
Texas Instruments,” Technical Report, Aug. 1999.