簡易檢索 / 詳目顯示

研究生: 簡錫安
Hsi-An Chien
論文名稱: 先進的佈局設計技術
Advanced Layout Design Techniques
指導教授: 王廷基
Wang, Ting-Chi
口試委員: 黃婷婷
Hwang, TingTing
謝財明
HsiehTsai-Ming
李毅郎
Li, Yih-Lang
王俊堯
Wang, Chun-Yao
麥偉基
Mak, Wai-Kei
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 資訊工程學系
Computer Science
論文出版年: 2015
畢業學年度: 103
語文別: 英文
論文頁數: 115
中文關鍵詞: 工程變更指令繞線三重圖案微影技術佈局分解擺置
外文關鍵詞: ECO Routing, Triple Patterning Lithography, Layout Decomposition, Placement
相關次數: 點閱:3下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 本論文針對先進製程佈局設計,在兩個不同層面下逐一對四個問題進行探討。首先,第一個層面著重於工程變更指令(engineering change order,簡稱為ECO),主要專注於具冗餘接點(redundant via)意識的ECO繞線與具光罩(mask)成本意識的ECO繞線;而第二個層面著重於三重圖案微影技術(triple patterning lithography, 簡稱為TPL),主要針對以標準元件為基礎(cell-based)的列狀佈局(row-structure layout)研究其TPL分解,進而再探討具TPL意識的細部擺置改進(detailed placement refinement)。

    當完成晶片的擺放與繞線後(place-and-route)或甚至是下線(tape-out)之後,為了快速修正功能與時序問題,工程師可以利用預先嵌入的備份元件(spare cell)來改變原始的電路,並針對變更的連線(net)透過ECO繞線來連接。然而在奈米尺度下為了提升晶片良率和可靠度,冗餘接點安插已成為必然的手段;但當ECO繞線將現有佈局物件(layout object)視為障礙物時,安插了冗餘接點會造成剩餘的繞線資源變得相當有限,進而使ECO繞線更加難以實現。因此我們提出了能針對冗餘接點做置換、移除及安插的ECO繞線方法。另外我們也特別針對後矽(post-silicon)階段的ECO實作,研究了另一個ECO繞線問題;此問題考慮了四種修改電路的手段,其中包含了新增連線(net addition)、刪除連線(net deletion)、腳位連接(pin connection)及腳位斷開(pin disconnection)。因為隨著製程技術不斷的進步,光罩成本變成是一個在後矽階段關鍵的議題,所以在此ECO繞線問題之下,我們提出了能考慮重新利用以前的繞線(old route)來節省光罩成本的ECO繞線方法。

    在過去幾年內針對先進的微影技術TPL,佈局設計技術備受關注,已有數個TPL相關的問題被探討,其中包含了佈局分解以及具TPL意識的元件擺置。首先,TPL佈局分解問題是將同一層(layer)內的多邊形圖案(polygon)分配到三個不同的光罩,若被分配到同一個光罩的兩個圖案,彼此間的距離不能低於TPL所規範的最小著色間距(minimum coloring distance),否則會形成一個著色衝突。針對以標準元件為基礎且位於第一層金屬層(Metal 1 layer)上的列狀佈局,我們設計了以圖論為基礎的方法來解決TPL佈局分解問題,此方法會求得一個分解結果並最小化關於著色衝突及縫合圖案(stitch)的總成本。另外我們也開發數個圖形簡化的技巧來加速我們的分解方法。最後,為了進一步提升TPL佈局分解品質,我們在細部擺置階段探討TPL,並提出了以元件列為基礎(row-based)的細部擺置改進方法,求得無著色衝突的合法擺置(legal placement)且最小化其縫合圖案數量與線長(wirelength)。


    This dissertation studies four problems of advanced layout design in two different aspects. For engineering change order (ECO), we focus on redundant-via-aware ECO routing and mask-cost-aware ECO routing; for triple patterning lithography (TPL), we first investigate cell-based row-structure TPL layout decomposition and then TPL-aware detailed placement refinement.

    For the purpose of fixing functional and/or timing problems effectively and efficiently after place-and-route or even tape-out, designers can utilize pre-injected spare cells to change the original design and apply ECO routing to connect modified nets incrementally. However, redundant via insertion (RVI) has become an inevitable means adopted in the routing or post-routing stage to enhance chip reliability and yield as feature size shrinks down to nanometer scale. The remaining routing resources could become so limited after RVI, thus causing difficulties in ECO routing which regards existing layout objects as routing blockages. We propose an ECO routing approach that considers redundant via replacement, removal, and insertion. We also address another ECO routing problem, especially for post-silicon ECO implementation; this problem considers four types of circuit modifications: net addition, net deletion, pin connection, and pin disconnection. As minimum feature size continues to scale down, the cost of photomasks becomes a critical issue for post-silicon ECO. We present an ECO routing approach that considers the reuse of old routes to save the mask re-spin cost.

    In the past few years, much attention has been devoted to layout design with the advanced lithography technology, TPL.
    Several relevant problems in TPL, such as layout decomposition and TPL-aware placement have been explored.
    The TPL layout decomposition problem is to divide the polygons on a layer into three different masks, in which the distance between two polygons assigned on the same mask should not be less than the minimum coloring distance in TPL, or a coloring conflict is caused. For any cell-based row-structure layout on Metal 1 (M1) layer, we design a graph-based approach to solve the TPL layout decomposition problem by finding a decomposition solution with the minimal total cost in terms of conflicts and stitches. We also develop several graph simplification methods to speed up our approach. To enhance the decomposition quality, we finally study TPL in the detailed placement stage. We present a row-based detailed placement refinement approach which aims at finding a legal placement with a conflict-free TPL layout decomposition and meanwhile minimizing the number of stitches and the wirelength.

    1 Overview 1 1.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 1.2 Dissertation Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 2 Redundant-Via-Aware ECO Routing 5 2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 2.2 Problem Definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 2.3 The Proposed Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 2.3.1 A* Search Based Path Finding Algorithm . . . . . . . . . . . . . . 9 2.3.2 Feasible Gridpoint . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 2.3.3 Cost Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2.3.4 Speed-up for A* Search . . . . . . . . . . . . . . . . . . . . . . . . . 15 2.3.5 Redundant Via Insertion/Replacement . . . . . . . . . . . . . . . . 16 2.4 Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 2.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 2.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 3 Mask-Cost-Aware ECO Routing 21 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 3.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.3 Our Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 3.3.1 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3.3.2 ECO Routing Phase . . . . . . . . . . . . . . . . . . . . . . . . . . 27 3.3.3 Connectivity-Breaking Phase . . . . . . . . . . . . . . . . . . . . . 30 3.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 3.4.1 Methodology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 3.4.2 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 3.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 4 Cell-Based Row-Structure Layout Decomposition for Triple Patterning Lithography 40 4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 4.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 4.3 Layout decomposition approach . . . . . . . . . . . . . . . . . . . . . . . . 43 4.3.1 Graph Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 4.3.2 Stitch Insertion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 4.3.3 Hierarchical Method . . . . . . . . . . . . . . . . . . . . . . . . . . 48 4.3.4 Power/Ground Rail . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 4.3.5 Parallelism for Multiple Rows . . . . . . . . . . . . . . . . . . . . . 50 4.4 Graph Reduction Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . 51 4.4.1 Simple Solution Graph . . . . . . . . . . . . . . . . . . . . . . . . . 51 4.4.2 Reduced Simple Solution Graph . . . . . . . . . . . . . . . . . . . . 54 4.4.3 Reduced Simple Solution Graph for BCP . . . . . . . . . . . . . . . 56 4.4.4 Overall Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 4.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 4.6 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 5 Row-Based Detailed Placement Refinement for Triple Patterning Lithography 64 5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 5.2 Review of a TPL Layout Decomposer [48] . . . . . . . . . . . . . . . . . . 68 5.3 TPL-Aware Single-Row Placement . . . . . . . . . . . . . . . . . . . . . . 74 5.3.1 TPL-Aware Single-Row Placement with White Space Insertion . . . 74 5.3.2 TPL-Aware Single-Row Placement with White Space Insertion and Cell Flipping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 5.3.3 TPL-Aware Single-Row Placement with White Space Insertion and Adjacent-Cell Swapping . . . . . . . . . . . . . . . . . . . . . . . . 85 5.3.4 TPL-aware Single-Row Placement with White Space Insertion, Cell Flipping, and Adjacent-Cell Swapping . . . . . . . . . . . . . . . . 88 5.4 Stitch Insertion and Graph Reduction . . . . . . . . . . . . . . . . . . . . . 89 5.5 TPL-Aware Detailed Placement Refinement . . . . . . . . . . . . . . . . . 92 5.6 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 5.6.1 Detailed Placement Refinement . . . . . . . . . . . . . . . . . . . . 98 5.6.2 Single-Row Placement . . . . . . . . . . . . . . . . . . . . . . . . . 100 5.6.3 White Space Reduction and Runtime Improvement . . . . . . . . . 101 5.7 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 6 Conclusion 107 6.1 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 6.2 Future works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 Bibliography 109

    [1] International Technology Roadmap for Semiconductors: http://www.itrs.net.
    [2] lp_solve: http://lpsolve.sourceforge.net.
    [3] OpenAccess: http://www.si2.org/?page=69.
    [4] NanGate FreePDK45 Generic Open Cell Library:
    http://www.si2.org/openeda.si2.org/projects/nangatelib.
    [5] D. Abercrombie, P. Lacour, O. El-Sewefy, A. Volkov, E. Levine, K. Arb, C. Reid,
    Q. Li, and P. Ghosh. Double patterning from design enablement to verification. In
    Proc. of SPIE Conf. on Photomask Technology, pages 81660X-1–81660X-14, October
    2011.
    [6] U. Brenner and J. Vygen. Faster optimal single-row placement with fixed ordering. In
    Proc. of Design, Automation and Test in Europe Conf. and Exhibit., pages 117–121,
    March 2000.
    [7] H.-Y. Chang, I. H.-R. Jiang, and Y.-W. Chang. Simultaneous functional and timing
    ECO. In Proc. of Design Automation Conf., pages 140–145, June 2011.
    [8] H.-Y. Chang, I. H.-R. Jiang, and Y.-W. Chang. Timing ECO optimization via Bézier
    curve smoothing and fixability identification. In Proc. of International Conf. on
    Computer-Aided Design, pages 742–746, November 2011.
    [9] H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han. Full-chip routing considering
    double-via insertion. IEEE Trans. on Computer-Aided Design of Integrated
    Circuits and Systems, 27(5):844–857, May 2008.
    [10] S.-Y. Chen and Y.-W. Chang. Native-conflict-aware wire perturbation for double
    patterning technology. In Proc. of International Conf. on Computer-Aided Design,
    pages 556–561, November 2010.
    [11] H.-A. Chien, Y.-H. Chen, S.-Y. Han, H.-Y. Lai, and T.-C. Wang. On refining
    row-based detailed placement for triple patterning lithography. IEEE Trans. on
    Computer-Aided Design of Integrated Circuits and Systems, 34(5):778–793, May 2015.
    [12] H.-A. Chien, S.-Y. Han, Y.-H. Chen, and T.-C. Wang. A cell-based row-structure
    layout decomposer for triple patterning lithography. In Proc. of International Symp.
    on Physical Design, pages 67–74, March 2015.
    [13] H.-A. Chien and T.-C. Wang. Mask-cost-aware ECO routing. In Proc. of Design,
    Automation and Test in Europe Conf. and Exhibit., pages 1–4, March 2014.
    [14] H.-A. Chien and T.-C. Wang. Redundant-via-aware ECO routing. In Proc. of Asia
    and South Pacific Design Automation Conf., pages 418–423, January 2014.
    [15] W.-K. Chow, J. Kuang, X. He, W. Cai, and E. F. Y. Young. Cell density-driven
    detailed placement with displacement constraint. In Proc. of International Symp. on
    Physical Design, pages 3–10, March 2014.
    [16] J. Cong, J. Fang, and K.-Y. Khoo. An implicit connection graph maze routing
    algorithm for ECO routing. In Proc. of International Conf. on Computer-Aided
    Design, pages 163–167, November 1999.
    [17] Y. Du and M. D. F. Wong. Optimization of standard cell based detailed placement
    for 16 nm FinFET process. In Proc. of Design, Automation and Test in Europe Conf.
    and Exhibit., pages 1–6, March 2014.
    [18] S.-Y. Fang, Y.-W. Chang, and W.-Y. Chen. A novel layout decomposition algorithm
    for triple patterning lithography. In Proc. of Design Automation Conf., pages 1181–
    1186, June 2012.
    [19] S.-Y. Fang, T.-F. Chien, and Y.-W. Chang. Redundant-wires-aware ECO timing and
    mask cost optimization. In Proc. of International Conf. on Computer-Aided Design,
    pages 381–386, November 2010.
    [20] M. R. Garey and D. S. Johnson. Computers and intractability: a guide to the theory
    of NP-completeness. W. H. Freeman and Company, New York, 1979.
    [21] A. Guttman. R-trees: a dynamic index structure for spatial searching. In Proc. of
    International Conf. on Management of Data, pages 47–57, June 1984.
    [22] P. Hart, N. Nilsson, and B. Raphael. A formal basis for the heuristic determination
    of minimum cost paths. IEEE Trans. on Systems Science and Cybernetics, 4(2):100–
    107, July 1968.
    [23] A. J. Hazelton, A. Wüest, G. Hughes, and M. Lercel. Predicting lithography costs:
    guidance for  32 nm patterning solutions. In Proc. of SPIE Conf. on Photomask
    and Next-Generation Lithography Mask Technology XV, pages 70283N-1–70283N-10,
    May 2008.
    [24] C.-H. Hsu, Y.-W. Chang, and S. R. Nassif. Template-mask design methodology for
    double patterning technology. In Proc. of International Conf. on Computer-Aided
    Design, pages 107–111, November 2010.
    [25] P.-Y. Hsu and Y.-W. Chang. Non-stitch triple patterning-aware routing based on
    conflict graph pre-coloring. In Proc. of Asia and South Pacific Design Automation
    Conf., pages 390–395, January 2015.
    [26] S.-L. Huang, W.-H. Lin, and C.-Y. Huang. Match and replace—a functional ECO engine
    for multi-error circuit rectification. In Proc. of International Conf. on Computer-
    Aided Design, pages 383–388, November 2011.
    [27] S.-L. Huang, C.-A. Wu, K.-F. Tang, C.-H. Hsu, and C.-Y. R. Huang. A robust
    ECO engine by resource-constraint-aware technology mapping and incremental routing
    optimization. In Proc. of Asia and South Pacific Design Automation Conf., pages
    382–387, January 2011.
    [28] G. Hughes, L. C. Litt, A. Wüest, and S. Palaiyanur. Mask and wafer cost of ownership
    (COO) from 65 to 22 nm half-pitch nodes. In Proc. of SPIE Conf. on Photomask
    and Next-Generation Lithography Mask Technology XV, pages 70281P-1–70281P-8,
    May 2008.
    [29] S. Irnich and G. Desaulniers. Shortest path problems with resource constraints. Column
    Generation, Springer US, pages 33–65, Chapter 2, 2005.
    [30] A. B. Kahng, I. L. Markov, and S. Reda. On legalization of row-based placements.
    In Proc. of Great Lakes Symp. on VLSI, pages 214–219, April 2004.
    [31] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao. Layout decomposition for double
    patterning lithography. In Proc. of International Conf. on Computer-Aided Design,
    pages 465–472, November 2008.
    [32] A. B. Kahng, P. Tucker, and A. Zelikovsky. Optimization of linear placements for
    wirelength minimization with free sites. In Proc. of Asia and South Pacific Design
    Automation Conf., pages 241–244, January 1999.
    [33] J. Kuang, W.-K. Chow, and E. F. Y. Young. Triple patterning lithography aware optimization
    for standard cell based design. In Proc. of International Conf. on Computer-
    Aided Design, pages 108–115, November 2014.
    [34] J. Kuang and E. F. Y. Young. An efficient layout decomposition approach for triple
    patterning lithography. In Proc. of Design Automation Conf., pages 1–6, June 2013.
    [35] Y.-M. Kuo, Y.-T. Chang, S.-C. Chang, and M. Marek-Sadowska. Engineering
    change using spare cells with constant insertion. In Proc. of International Conf.
    on Computer-Aided Design, pages 544–547, November 2007.
    [36] K.-Y. Lee, C.-K. Koh, T.-C. Wang, and K.-Y. Chao. Fast and optimal redundant
    via insertion. IEEE Trans. on Computer-Aided Design of Integrated Circuits and
    Systems, 27(12):2197–2208, December 2008.
    [37] Y.-L. Li, J.-Y. Li, and W.-B. Chen. An efficient tile-based ECO router using routing
    graph reduction and enhanced global routing flow. IEEE Trans. on Computer-Aided
    Design of Integrated Circuits and Systems, 26(2):345–358, February 2007.
    [38] C.-T. Lin, Y.-H. Lin, G.-C. Su, and Y.-L. Li. Dead via minimization by simultaneous
    routing and redundant via insertion. In Proc. of Asia and South Pacific Design
    Automation Conf., pages 657–662, January 2010.
    [39] Y.-H. Lin, B. Yu, D. Z. Pan, and Y.-L. Li. TRIAD: a triple patterning lithography
    aware detailed router. In Proc. of International Conf. on Computer-Aided Design,
    pages 123–129, November 2012.
    [40] Z. Liu, C. Liu, and E. F. Y. Young. An effective triple patterning aware grid-based
    detailed routing approach. In Proc. of Design, Automation and Test in Europe Conf.
    and Exhibit., pages 1641–1646, March 2015.
    [41] Q. Ma, H. Zhang, and M. D. F. Wong. Triple patterning aware routing and its
    comparison with double patterning aware routing in 14nm technology. In Proc. of
    Design Automation Conf., pages 591–596, June 2012.
    [42] L. McMurchie and C. Ebeling. PathFinder: a negotiation-based performance-driven
    router for fpgas. In Proc. of International Symp. on Field Programmable Gate Arrays,
    pages 111–117, February 1995.
    [43] D. Z. Pan, M. Cho, and K. Yuan. Manufacturability aware routing in nanometer
    VLSI. Foundations and Trends in Electronic Design Automation, 4(1):1–97, May
    2010.
    [44] M. Pan, N. Viswanathan, and C. Chu. An efficient and effective detailed placement
    algorithm. In Proc. of International Conf. on Computer-Aided Design, pages 48–55,
    November 2005.
    [45] L. Scheffer. Recommended rules not recommended. In Proc. of Electronic Design
    Processes Workshop, April 2006.
    [46] H. Tian, Y. Du, H. Zhang, Z. Xiao, and M. D. F. Wong. Constrained pattern assignment
    for standard cell based triple patterning lithography. In Proc. of International
    Conf. on Computer-Aided Design, pages 178–185, November 2013.
    [47] H. Tian, Y. Du, H. Zhang, Z. Xiao, and M. D. F. Wong. Triple patterning aware
    detailed placement with constrained pattern assignment. In Proc. of International
    Conf. on Computer-Aided Design, pages 116–123, November 2014.
    [48] H. Tian, H. Zhang, Q. Ma, Z. Xiao, and M. D. F. Wong. A polynomial time triple
    patterning algorithm for cell based row-structure layout. In Proc. of International
    Conf. on Computer-Aided Design, pages 57–64, November 2012.
    [49] A. van Oosten, P. Nikolsky, J. Huckabay, R. Goossens, and R. Naber. Pattern split
    rules! A feasibility study of rule based pitch decomposition for double patterning. In
    Proc. of SPIE Conf. on Photomask Technology, page 67301L-1–67301L-7, October
    2007.
    [50] Y.-R. Wu, S.-Y. Kao, and S.-A. Hwang. Minimizing ECO routing for FIB. In Proc.
    of International Symp. on VLSI Design, Automation and Test, pages 351–354, April
    2010.
    [51] G. Xu, L.-D. Huang, D. Z. Pan, and M. D. F. Wong. Redundant-via enhanced maze
    routing for yield improvement. In Proc. of Asia and South Pacific Design Automation
    Conf., pages 1148–1151, January 2005.
    [52] Y. Xu and C. Chu. A matching based decomposer for double patterning lithography.
    In Proc. of International Symp. on Physical Design, pages 121–126, March 2010.
    [53] B. Yu, Y.-H. Lin, G. Luk-Pat, D. Ding, K. Lucas, and D. Z. Pan. A high-performance
    triple patterning layout decomposer with balanced density. In Proc. of International
    Conf. on Computer-Aided Design, pages 163–169, November 2013.
    [54] B. Yu, X. Xu, J.-R. Gao, and D. Z. Pan. Methodology for standard cell compliance
    and detailed placement for triple patterning lithography. In Proc. of International
    Conf. on Computer-Aided Design, pages 349–356, November 2013.
    [55] B. Yu, B. Zhang, D. Ding, and D. Z. Pan. Layout decomposition for triple patterning
    lithography. In Proc. of International Conf. on Computer-Aided Design, pages 1–8,
    November 2011.
    [56] M. Ziegelmann. Constrained shortest paths and related problems. PhD Thesis, Universität
    des Saarlandes, July 2001.

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)

    QR CODE