研究生: |
李培源 Li, Pei-Yuan |
---|---|
論文名稱: |
應用於三維寬位元晶片堆疊之資料警覺低擺幅電荷共享傳輸方案 A Data-Aware Charge-Sharing Based Low Swing TSV Transmission Scheme for 3D IC with Wide I/O |
指導教授: |
張孟凡
Chang, Meng-Fan |
口試委員: |
洪浩喬
邱瀝毅 |
學位類別: |
碩士 Master |
系所名稱: |
電機資訊學院 - 電機工程學系 Department of Electrical Engineering |
論文出版年: | 2014 |
畢業學年度: | 103 |
語文別: | 英文 |
論文頁數: | 66 |
中文關鍵詞: | 三維堆疊 、直通矽穿孔 、寬位元 |
相關次數: | 點閱:3 下載:0 |
分享至: |
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報 |
隨著電晶體製程依據摩爾定律不斷的演進,我們必須面對設計上的困難以及物理上的瓶頸,其中包含漏電流的增加、跑線的延遲與能量消耗以及生產良率的問題。目前最有潛力解決上述問題並且能有效增加密度以及效能的方法為三維晶片堆疊整合。三維晶片堆疊整合使用直通矽穿孔(Through Silicon Via,TSV)技術使得不同的晶片可以三維方式堆疊整合,但目前TSV技術仍有許多挑戰,包含直通矽穿孔的負載過重、製程變異過大導致效能不佳、直通矽穿孔的面積過大使得面積效益不彰、多層晶片的定址問題以及傳輸效率提升與頻寬之效能問題等等。於這些三維堆疊製程整合的重要議題中,本論文探討如何藉由電路設計減少三維傳輸的能量消耗、以提高傳輸效能以及合適的傳輸界面。
因此,在論文中我們提出了具非對稱性感測放大器之資料警覺低電壓電荷共享傳輸方案。其中資料警覺低電壓電荷共享傳輸方案能夠有效節省高負載TSV傳輸所消耗的能量,能夠依據傳輸的資料動態調整TSV上的電荷,以減少對TSV充電的量值,且在多層堆疊時能夠有效降低傳輸速度的損失。而非對稱性感測放大器可以解決傳統對稱型感測放大器所面臨參考點電壓選擇的問題,並且能降低感測資料所需的最小資料端電壓差距。
我們使用六十五奈米互補金氧半導體技術來設計一個由一千零二十四組傳輸電路組成的三維堆疊傳輸方案來驗證我們的想法,量測結果顯示傳輸效能可達到0.12 mW/Gbps。
With the evolution of MOS technology based on Moore’s Law, we have to face the difficulties on designing and the bottleneck on physics and materials including increasing leakage current, RC delays on wire routing and yield issue. 3D integration has the most potential to solve these problems by using Though Silicon Via (TSV) technology and providing outstanding performance and high density advantage at the same time. However, there are many challenges for TSV-based 3D IC such as bad energy efficiency due to large loading, multi layer addressing and large TSV pitch. Therefore, the capability of achieving low energy efficiency by circuit design for 3D IC is the main target of our works. In the thesis, we propose a Data-Aware Charge-Sharing Low Voltage Transmission Scheme with Asymmetric Sense Amplifier to reduce the power consumption and solve the Vref selecting problems for conventional symmetric sense amplifier. A 1kb I/O macro has been fabricated in 65nm CMOS technology to verify the ideas of this works. The measurement results demonstrate the functionality of this works and the energy efficiency can achieve to 0.12 mw/Gbps.
References
[1] Neil Weste and David Harris, CMOS VLSI Design: A Circuits and Systems Perspective. Pearson Education,2005.
[2] International Technology Road Map for Semiconductors, Executive Summary, 2012
[3] X-FAB Semiconductor Foundries, What is “More than Moore”?. Retrieved June 14, 2011, http://www.more-than-moore.com/more-than-moore/what-is-more-than-moore.html
[4] Jagar Singh, Ciavatti Jerome, Andy Wei, ”Analog, RF, and ESD Device Challenges and Solutions for 14nm FinFET Technology and Beyond” VLSI Technology: Digest of Technical Papers, 2014 Symposium on.
[5] A. Veloso, G. Boccardi, L.-Å. Ragnarsson, ”Highly Scalable Effective Work Function Engineering Approach for Multi-VT Modulation of Planar and FinFET-based RMG High-k Last Devices for (Sub-)22nm Nodes” VLSI Technology: Digest of Technical Papers, 2013 Symposium on.
[6] D. Burger J.R. Goodman, and A. Kagi, “Memory Bandwidth Limitations of Future Microprocessors,”In ISCA, pp. 78-89, Nov. 1996.
[7] J. Stuecheli, L. Kurian John. “Cache Capacity and Memory Bandwidth Scaling Limits of Highly Threaded Processors,” In IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
[8] J.S. Kim, C.S. Oh, et al., "A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4x128 I/Os Using TSV-Based Stacking," Int. Solid-State Circuits Conf.,pp.496 -498,Feb.2011.
[9] Uksong Kang, Hoe-Ju Chung, Seongmoo Heo, et al., " 8Gb 3D DDR3 DRAM Using Through-Silicon-Via Technology," ISSCC 2009. IEEE International
[10] A P. Ancey, ”From 3D-SOC to 3D heterogeneous systems: Technology and applications” VLSI Technology, 2011 Symposium on.
[11] V. K. Jain, ”3-D Heterogeneous SoC for detecting and filtering infected biological cells” SOC Conference, 2008 IEEE International
[12] L. Jiang, Q. Xu, K.Chakrabarty, T.M. Mak, "Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint", in: IEEE International Conference on Computer-Aided Design, 2009, p. 191–196.
[13] W. C. Lo, S. M. Chang, Y. H. Chen, J. D. Ko, T. Y. Kuo, H. H. Chang, and Y. C. Shih, "3D chip-to-chip stacking with through silicon interconnects, " Symposium on VLSI-TSA, pp. 1-2, Apr. 2007.
[14] G. H. Loh, Y. Xie, and B. Black., "Processor Design in 3D Die-Stacking Technologies," IEEE Micro, 27(3):31–48, 2007.
[15] T. Fukushima, et al., "New Three-Dimensional Integration Technology Using Chip-to-Wafer Bonding to Achieve Ultimate Super-Chip Integration," Japanese Journal of Applied Physics, 45(4B):3030, 2006.
[16] Y. Xie, et al., "Design Space Exploration for 3D Architectures, " ACM Journal on Emerging Technologies in Computing Systems (JETC), 2(2):65–103, 2006.
[17] A. Zia, et al., "A 3-tier, 3-D FD-SOI SRAM macro," IEEE ICICDT, pp. 277-280, May 2008
[18] Lewis, D.L. et al, "A ScanIsland Based Design Enabling Prebond Testability in DieStacked Microprocessors, " in proc. IEEE International Test Conference (ITC), 2007, pp. 1-8
[19] Kenichi Takeda, Mayu Aoki, Kazuyuki Hozawa, Futoshi Furuta et al., “Three-dimensional Integration Scheme using Hybrid Wafer Bonding and Via-last TSV Process,” CPMT Symposium Japan, 2012 2nd IEEE
[20] Erh-Hao Chen, Tzu-Chien Hsu, Cha-Hsin Lin et al., “Technologies and Challenges of Fine-pitch Backside Via-last 3DIC TSV Process Integration and Its Electrical Characteristics and System Applications,” VLSI Technology, Systems and Application (VLSI-TSA), Proceedings of Technical Program - 2014 International Symposium on
[21] Erh-Hao Chen, Tzu-Chien Hsu, Cha-Hsin Lin et al., “Fine-pitch Backside Via-last TSV Process with Optimization on Temporary Glue and Bonding Condition,” Electronic Components and Technology Conference (ECTC), 2013 IEEE 63rd
[22] Futoshi Furuta, and Kenichi Osada, “6 Tbps/W, 1 Tbps/mm2, 3D Interconnect using Adaptive Timing Control and Low Capacitance TSV,” 3D Systems Integration Conference (3DIC), 2011 IEEE International
[23] Xin Zhao, Minz, J. Sung Kyu Lim, “Low-Power and Reliable Clock Network Design for Through-Silicon Via (TSV) Based 3D ICs,” Components, Packaging and Manufacturing Technology, IEEE Transactions on
[24] Dayoung Kim, Joohee Kim, Jonghyun Cho, Jun So Pak, “Distributed Multi TSV 3D Clock Distribution Network in TSV-based 3D IC,” Electrical Performance of Electronic Packaging and Systems (EPEPS), 2011 IEEE 20th Conference on
[25] Dayoung Kim, Joohee Kim, Jun So Pak, “Vertical Tree 3-dimensional TSV Clock Distribution Network in 3D IC,” Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd
[26] Yu-Guang Chen1, Kuan-Yu Lai1, Ming-Chao Lee, et al., “Yield and Timing Constrained Spare TSV Assignment for Three-Dimensional Integrated Circuits,” Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014
[27] Yi Zhao, Saqib Khursheed, Bashir M. Al-Hashimi “Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs,” Test Symposium (ATS), 2011 20th Asian-
[28] Yong Liu, Wing Luk, Daniel Friedman, et al., “A Compact Low-Power 3D I/O in 45nm CMOS,” Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International
[29] Mu-Shan Lin, Chien-Chun Tsai, Chih-Hsien Chang, et al., “An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3V low-swing IO for 2.5D CoWoS application ,” VLSI Technology (VLSIT), 2013 Symposium on
[30] Reum Oh, Byunghyun Lee, Sang-Woong Shin, et al., “Design Technologies for a 1.2V 2.4Gb/s/pin High Capacity DDR4 SDRAM with TSVs ,” VLSI Circuits Digest of Technical Papers, 2014 Symposium on
[31] Dong Uk Lee, Kyung Whan Kim, Kwan Weon Kim, et al., “An Exact Measurement and Repair Circuit of TSV Connections for 128GB/s High-Bandwidth Memory(HBM) Stacked DRAM,” VLSI Circuits Digest of Technical Papers, 2014 Symposium on
[32] Bernhard Wicht, Thomas Nirschl, Doris Schmitt-Landsiedel, et al., “Yield and Speed Optimization of a Latch-Type Voltage Sense Amplifier,” Solid-State Circuits, IEEE Journal of
[33] Igor Arsovski and Reid Wistort, et al., “Self-referenced sense amplifier for across-chip-variation immune sensing in high-performance Content-Addressable Memories,” Custom Integrated Circuits Conference, 2006. CICC '06. IEEE
[34] Mahmut E. Sinangil, Naveen Verma, Anantha P. Chandrakasan, et al., “A 45nm 0.5V 8T Column-Interleaved SRAM with on-Chip Reference Selection Loop for Sense-Amplifier,” Solid-State Circuits Conference, 2009. A-SSCC 2009. IEEE Asian
[35] Jeongwon Cha, Taejoong Song, Changhyuk Cho, et al., “A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump,” Microwave Theory and Techniques, IEEE Transactions on
[36] María Teresa Sánchez-Rico, Ricardo García-Ródenas, et al., " A Monte Carlo Approach to Simulate the StochasticDemand in a Continuous Dynamic Traffic Network Loading Problem," Intelligent Transportation Systems, IEEE Transactions on
[37] Haralampos-G. Stratigopoulos and Stephen Suntery, " Efficient Monte Carlo-Based Analog Parametric Fault Modelling " VLSI Test Symposium (VTS), 2014 IEEE 32nd
[38] Bob Kirk, "Clock Management with PLLs and DLLs". EETimes.com. Retrieved July, 09, 2011 from http://www.eetimes.com/electronics-news/4140147/
Clock-Management-with-PLLs-and-DLLs
[39] Verma, N., et al., "A High-Density 45nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," Proc. IEEE Int. Solid-State Circuits Conf., pp.380-621, 3-7 Feb. 2008
[40] Chandrakasan, et al., "High density 45 nm SRAM using small-signal non-strobed regenerative sensing," US:7746713 B2, June, 29, 2010
Yamauchi, H. , Akamatsu, H. ; Fujita, T. et al., " An Asymptotically Zero Power Charge-Recycling Bus Architecture for Battery-Operated Ultrahigh Data Rate ULSI’ s " Solid-State Circuits, IEEE Journal of (Volume:30 , Issue: 4 )
[41] Byung-Do Yang and Lee-Sup Kim , " A LOW POWER CHARGE SHARING ROM USING DUMMY BIT LINES" Circuits and Systems, 2003. ISCAS '03. Proceedings of the 2003 International Symposium on