簡易檢索 / 詳目顯示

研究生: 蔡名詔
Tsai, Ming-Chao
論文名稱: 先進微影技術與三維整合技術之優化方法
Optimization Methods for Modern Lithography and 3D Integration Technologies
指導教授: 黃婷婷
Hwang, TingTing
口試委員:
學位類別: 博士
Doctor
系所名稱: 電機資訊學院 - 資訊工程學系
Computer Science
論文出版年: 2010
畢業學年度: 99
語文別: 英文
論文頁數: 98
中文關鍵詞: 直通矽通道三維晶片相位移光罩黃光微影
外文關鍵詞: through-silicon via, 3D-IC, phase-shift mask, lithography
相關次數: 點閱:1下載:0
分享至:
查詢本校圖書館目錄 查詢臺灣博碩士論文知識加值系統 勘誤回報
  • 隨半導體技術之演進,訊號線延遲已支配整體電路延遲並成為電路效能之瓶頸。為提升效能,半導體業已投入大量心力於晶片微型化技術,藉以縮短訊號線長度。在本論文中,我們提出利用先進微影技術與三維晶片整合技術將晶片尺寸縮小。由於相位移光罩技術為一有效之先進微影技術,我們將著重於相位移技術並提出以訊號線擴展方式修正光罩以利佈局合乎相位移光罩設計規範。實驗結果顯示,我們的演算法可以消除98%的相位衝突而不需增加晶片面積。
    由於直通矽穿孔技術之發展,使得三維整合技術得以縮短介晶片連線之長度並提高晶片效能。然而直通矽穿孔占據可觀之晶片面積並且需要規劃擺放位置。若於早期實體設計時未先規劃直通矽穿孔之位置,則須依靠後置處理之方式將直通矽穿孔分配於晶片上未使用之區域內。因此,我們提出一同時擺放模組區塊與直通矽穿孔之三維平面規劃演算法。實驗結果顯示,相較於一後置處理配置直通矽穿孔之平面規劃演算法,我們提出之三維平面規劃演算法可縮短22.3%之訊號線長度。
    雖然直通矽穿孔可有效減低三維晶片之連線長度,但其所占據之面積亦對線路產生負面衝擊。使用過多直通矽穿孔將增加三維晶片之面積,並延長訊號線長度。因此,我們提出一評估方法研究三維晶片中訊號線長與直通矽穿孔數量與尺寸之利弊分析。當直通矽穿孔之尺寸較小,使用較多之直通矽穿孔有利於訊號線長度之縮減。反之,當使用較大之直通矽穿孔,則適合使用最少數量之直通矽穿孔繞線已減小總體訊號線長度。此外,我們的實驗亦顯示最佳之三維晶片分割策略也隨直通矽穿孔之尺寸改變。當使用越大的直通矽穿孔,則必須越早分配邏輯閘於不同層中。


    As the semiconductor technology advances, the interconnect delay gradually dominates the entire circuit delay and becomes the bottleneck of the circuit performance.
    To further improve the performance, manufacturers invest great deal of effort to reduce the delay by miniaturizing the chip size and shortening the interconnects. In this dissertation, we propose using modern lithography and 3D
    integration technologies to scaling down the chip size. Since the phase shift mask(PSM) is a very effective lithography technology to miniature the layout patterns,
    we focus on PSM design issues and propose a wire spreading algorithms to modify layouts for PSM compliance. Experimental result shows that our algorithm can eliminate more than 98% of phase conflicts without increasing the die
    size.

    With the aid of through-silicon via (TSV), 3D integration is able to shorten the wirelength of inter-tier net and achieves high performance. However, TSV is not volumeless point and cannot be placed anywhere on a layout. Without planning TSVs in the early design stage, a post TSV insertion procedure is required to arrange TSV to white space. To this end, we also propose a 3D floorplanning algorithm to simultaneously plan functional blocks and TSVs. Experimental results show that our algorithm outperforms a post-processing TSV planning algorithm in wirelength by 22.3%.

    Although TSV potentially reduces the wirelength of a 3D-IC, the area overhead of TSV poses negative impact to circuit. Applying too many TSVs in a design increases the size of a 3D-IC and extends the distances among active devices. Therefore, we also propose evaluation methods to study the trade-off among wirelength, number of TSVs, size of TSVs and placement of 3D-ICs. Experimental results reveal that the optimal number of TSVs of a design varies with the size of TSVs. When the size of TSV is small, the using more TSVs is beneficial for wirelength reduction. On the contrary, when large TSV is applied, a design prefers using routing topologies with least number of TSVs to minimize the total wirelength. Also, our experimental results show that the best partition scheme for placement is sensitive to the size of TSV. The larger TSV we use, the earlier we have to partition cells to different tiers.

    1 Introduction 1 2 An MILP-Based Wire Spreading Algorithm for PSM-Aware Layout Modification 4 2.1 Preliminaries and Problem Formulation . . . . . . . . . . . . . . 7 2.1.1 Preliminaries . . . . . . . . . . . . . . . . . . . . . . . . 7 2.1.2 Problem Formulation . . . . . . . . . . . . . . . . . . . . 13 2.2 Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 2.2.1 Candidate Removal Set . . . . . . . . . . . . . . . . . . . 17 2.2.2 An MILP-Based Wire Spreading Algorithm . . . . . . . . 20 2.3 Experiment Results . . . . . . . . . . . . . . . . . . . . . . . . . 24 2.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 3 Through-Silicon Via Planning in 3D Floorplanning 29 3.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.2 Problem Formulation and Modeling . . . . . . . . . . . . . . . . 35 3.2.1 Face-to-Back Integration Technology . . . . . . . . . . . 37 3.2.2 Wirelength Estimation . . . . . . . . . . . . . . . . . . . 39 3.2.3 Fixed-Outline Floorplan . . . . . . . . . . . . . . . . . . 41 3.2.4 Problem Formulation . . . . . . . . . . . . . . . . . . . . 42 3.2.5 Thermal Analysis . . . . . . . . . . . . . . . . . . . . . . 42 3.3 Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 3.3.1 Perturbation of Solution . . . . . . . . . . . . . . . . . . 45 3.3.2 TSV Planning . . . . . . . . . . . . . . . . . . . . . . . . 47 3.3.3 TSV Re-Assignment . . . . . . . . . . . . . . . . . . . . 51 3.4 Experiment Results . . . . . . . . . . . . . . . . . . . . . . . . . 55 3.4.1 Results of TSV-Aware Floorplanning . . . . . . . . . . . 56 3.4.2 Results of TSV Re-Assignment . . . . . . . . . . . . . . 61 3.4.3 Sensitivity Analysis on Size of TSVs . . . . . . . . . . . 61 3.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 4 An Evaluation of Trade-off among Wirelength, Number of Through- Silicon Via and Placement in 3D-ICs 65 4.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 4.2 Evaluation of TSV and Wirelength . . . . . . . . . . . . . . . . . 69 4.2.1 Wirelength of 3-D Spanning Tree . . . . . . . . . . . . . 71 4.2.2 TSV Impact on Longest Paths . . . . . . . . . . . . . . . 73 4.2.3 Wirelength of Different 3-D Placements . . . . . . . . . . 75 4.3 Experiment Results . . . . . . . . . . . . . . . . . . . . . . . . . 79 4.3.1 Results of Spanning Trees . . . . . . . . . . . . . . . . . 79 4.3.2 Results of TSV Impact on Lengths of Longest Paths . . . 81 4.3.3 Results of Different Placements . . . . . . . . . . . . . . 83 4.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 5 Conclusion 89

    [1] http://www.reed-electronics.com/semiconductor/article/CA6356254
    [2] Kevin McCullen, “Phase Correct Routing for Alternating Phase Shift
    Masks,” Proceedings of Design Automation Conference, pp 317-320, 2004.
    [3] P. Berman, A. B. Kahng, S. Mantik, I. L. Markov, and A. Zelikovsky,“
    Optimal Phase Conflict Removal for Layout of Dark Field Alternating
    Phase Shifting Masks,”IEEE Transactions on CAD, pp 1265-1278,
    1999.
    [4] K. Cao, J. Hu, and M. Cheng, “Layout Modification for Library Cell Alt-
    PSM Composablility,” Proceeding of International Society for Optical Engineering,
    2004.
    [5] C. Chiang, A. B. Kahng S. Sinha and X. Xu, “Fast and Efficient Phase Conflict
    Detection and Correction in Standard-Cell Layouts,” Proceedings in International
    Conference on Computer Aided Design, pp. 149-155, 2005.
    [6] M. Chi and D.Z. Pan, “BoxRouter: A new Global Router Based on Box
    Expansion and Progressive ILP,” Proceedings of Design Automation Conference,
    pp. 373-378, 2006.
    [7] http://www.silvaco.com
    [8] D. Kral and H.-J. Vosss, “Edge-Disjoint Odd Cycle in Planar Graphs,” Journal
    of Combinatorial Theory, Series B, Vol 90, Issue 1, pp. 107-120, 2007.
    [9] http://www.ilog.com
    [10] K. McCullen, “Redundant Via Insertion in Restricted Topology Layouts,”
    International Symposium on Quality Electronic Design, pp. 821-828, 2007
    [11] J. Cong, C. Liu, and G. Luo, “Quantitative Studies of Impact of 3D IC Design
    on Repeater Usage,” Proceedings of International VLSI/ULSI Multilevel
    Interconnection Conference, pp. 344-348, 2008.
    [12] J. Burns, L. Mcllrath, C. Keast, et al., “Three-Dimensional Integrated Circuit
    for Low Power, High-Bandwidth Systems on a Chip,” International Solid
    State Circuits Conference, pp. 268-269, 2001.
    [13] Y-J. Lee, Y. J. Kim, G. Huang, M. Bakir, Y. Joshi, A. Fedorov, and S. K.
    Lim, “Co-design of signal, power, and thermal distribution networks for 3D
    ICs,” Design Automation & Test in Europe Conference, pp.610-615, 2009.
    [14] P. Garrou, C. Bower, and P. Ramm, “Handbook of 3D Integration: Technology
    an Applications of 3D Integrated Circuits,” John Wiley, New York,
    2008.
    [15] X. He, S. Dong, Y. Man, and X. Hong, “Simultaneous Buffer and Interlayer
    Via Planning for 3D Floorplanning,” International Symposium on Quality
    Electronic Design, pp. 740-745, 2009.
    [16] J. Lu, S. Chen and T. Yoshimura, “Performance Maximized Interlayer Via
    Planning for 3D ICs,” Proceedings of International Conference on ASIC, pp.
    1096-1099 2007.
    [17] J. Cong, W. Jie, and Y. Zhang, “A Thermal-Driven Floorplan for 3DICs,”
    Proceedings in International Conference on Computer Aided Design,
    pp.306-313, 2004.
    [18] Z. Li, X-L. Hong, Q. Zhou, S. Zeng, H. Yang, V. Pitchumani, and C-K.
    Cheng, “Integrating Dynamic Thermal Via Planning with 3D Floorplanning
    Algorithm,” International Symposium on Physical Design, pp.178-185,
    2006.
    [19] P-Q. Zhou, Y-C. Ma, Z-Y Li, R.P. Dick, S. Li, H. Zhou, X-L. Hong, and Q.
    Zhou, “3D-STAF: scalable temperature and leakage aware floorplanning for
    three-dimensional integrated circuits,” Proceedings of International Conference
    on Computer-Aided Design, pp.590-597, 2007.
    [20] X. Li, Y. Ma, X. Hong, S. Dong, and J. Cong, “LP based white space redistribution
    for thermal via planning and performance optimization in 3D
    ICs,” Proceedings of Asia and South Pacific Design Automation Conference,
    pp.209-212, 2008.
    [21] B. Goplen, and S. Sapatnekar, “Placement of 3D ICs with Thermal and Interlayer
    Via Considerations,” Proceedings of Design Automation Conference,
    pp. 626-631, 2007.
    [22] J. Cong, G-J. Luo, J Wei, and Y. Zhang, “Thermal-Aware 3D IC Placement
    Via Transformation,” Proceedings of Asia and South Pacific Design Automation
    Conference, pp.780-785, 2007.
    [23] J. Li, and H. Miyashita, “Post-placement Thermal Via Planning for 3D Integrated
    Circuit,” Asia Pacific Conference on Circuits and Systems, pp.808-
    811, 2006.
    [24] J. Cong, and Y. Zhang, “Thermal Via Planning for 3-D ICs,” Proceedings of
    International Conference on Computer-Aided Design, pp. 745-752, 2005.
    [25] T. Zhang, Y. Zhan, and S. Sapatnekar, “Temperature-Aware Routing in 3D
    ICs,” Proceedings of Asia and South Pacific Design Automation Conference,
    pp.308-314, 2006.
    [26] http://www.magma-da.com/
    [27] S.N. Adya, and I.L. Markov, “Fixed-Outline Floorplanning: Enabling Hierarchical
    Design,” IEEE Transactions on Very Large Scale Integration Systems,
    vol.11, no.6, pp. 1120-1135, 2003.
    [28] T-C. Chen, Y-W. Chang, S-C. Lin, “IMF: Interconnect-Driven Multilevel
    Floorplanning for Large-Scale Building-Module Designs,” Proceedings of
    International Conference on Computer-Aided Design, pp.159-164, 2005.
    [29] C. Chiang, S. Sinha, “The road to 3D EDA tool readiness, ” Proceedings of
    Asia and South Pacific Design Automation Conference, pp.429-436, 2009.
    [30] H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani, “Rectangle Packing
    Based Module Placement,” Proceedings of International Conference on
    Computer-Aided Design, pp. 472-479, 1995.
    [31] C-W. Sham, and E. F. Y. Young, “Routablility Driven Floorplanner with
    Buffer Block Planning,” IEEE Transcation on Computer-Aided Design of
    Integrated Circuits and Systems, Vol. 22, Issue 4, pp 470-480, 2003.
    [32] K. W. C. Wong, and E. F. Y. Young, “Fast Buffer Planning and Congestion
    Optimization in Interconnect-Driven Floorplanning,” Proceedings of Asia
    and South Pacific Design Automation Conference, pp 411-416, 2003.
    [33] P. Sarkar, and C-K. Koh, “Routability-Driven Repeater Block Planning for
    Interconnect-Centric Floorplanning,” IEEE Transcation on Computer-Aided
    Design of Integrated Circuits and Systems, Vol. 20, Issue 5, pp 660-671,
    2001.
    [34] http://www.algorithmic-solutions.com/leda/
    [35] http://vlsicad.eecs.umich.edu/BK/parquet/
    [36] P.S. Andry et al., “A CMOS-compatible Process for Fabricating Electrical
    Through-vias in Silicon,” Proceedings of Electronic Components and Technology
    Conference, 2006, pp. 831-837
    [37] S. Spiesshoefer, Z. Rahman, G. Vangara, S. Polamreddy, S. Burkett, and L.
    Schaper, “Process Integration for Through-Silicon Vias,” Journal of Vacuum
    Science & Technology A: Vacuum, Surfaces, and Films, vol 23, issue 4, pp.
    824-829, 2005.
    [38] K. Takahashi and M. Sekiguchi, “Through Silicon Via and 3-D Wafer/Chip
    Stacking Technology,” Symposium on VLSI Circuits Digest of Technical Papers,
    2006.
    [39] I. Loi, S. Mitra, T. H. Lee, S. Fujita, and L. Benini, “A Low-Overhead Fault
    Tolerance Scheme for TSV-Based 3D Network on Chip Links,” Proceedings
    of International Conference on Computer-Aided Design, pp.598-602, 2008.
    [40] J. A. Davis, V. K. De, and J. D. Meindl, “A StochasticWire-Length Distribution
    for Gigascale Integration (GSI)-Part I: Derivation and Validation,” IEEE
    Transcation on Electron Devices, vol. 45, no. 3, pp. 580-589, 1998.
    [41] J. W. Joyner, P. Zarkesh-Ha, J. A. Davis, and J. D. Meindl, “A Three-
    Dimensional StochasticWire-Length Distribution for Variable Separation of
    Strata,” Proceedings of Interconnect Technology Conference, 2000.
    [42] R. Zhang, K. Roy, C.-K. Koh, and D. B. Janes, “Stochastic Wire-Length
    and Delay Distributions of 3-Dimensional Circuits,” Proceedings of International
    Conference on Computer-Aided Design, 2000.
    [43] D. H. Kim, K. Athikulwongse, and S. K. Lim, “A Study of Through-Silicon-
    Via Impact on the 3D Stacked IC Layout,” International Conference on Computer
    Aided Design, pp 674-680, 2009.
    [44] M. Pathak, Y-J. Lee, T. Moon, S. K. Lim, “Through-Silicon-Via Management
    during 3D Physical Deign: When to Add and How Many?” International
    Conference on Computer Aided Design, pp 387-394, 2010.
    [45] http://er.cs.ucla.edu/benchmarks/ibm-place/
    [46] J. A. Roy, D. A. Papa, S. N. Adya, H. H. Chan, A. N. Ng, J. F. Lu, and
    I. L. Markov, “Capo: robust and scalable open-source min-cut floorplacer,”
    Proceedings of International Symposium on Physical Design, 2005.
    [47] N. Selvakkumaran and G. Karypis. Theto, “A Fast and High-Quality Paritioning
    Driven Global Placer,” Technical Report 03-46, Department of Computer
    Science and Engineering, Univeristy of Minnesota, Novemver 2003.
    [48] N. Selvakkumaran and G. Karypis. Theto, “A Fast and High-Quality Paritioning
    Driven Placement Tool,” Technical Report 04-40, Department of
    Computer Science and Engineering, Univeristy of Minnesota, October 2004.
    [49] http://glaros.dtc.umn.edu/gkhome/metis/hmetis/download
    [50] http://er.cs.ucla.edu/benchmarks/ibm-place2/

    無法下載圖示 全文公開日期 本全文未授權公開 (校內網路)
    全文公開日期 本全文未授權公開 (校外網路)

    QR CODE